Device/Package xc7v585tffg1157 8/18/2011 15:52:20 Pin Pin Name Memory Byte Group Bank VCCAUX Group Super Logic Region I/O Type No-Connect W16 DXN_0 NA 0 NA NA CONFIG NA T17 VCCADC_0 NA 0 NA NA CONFIG NA T16 GNDADC_0 NA 0 NA NA CONFIG NA W17 DXP_0 NA 0 NA NA CONFIG NA V16 VREFN_0 NA 0 NA NA CONFIG NA V17 VREFP_0 NA 0 NA NA CONFIG NA U17 VP_0 NA 0 NA NA CONFIG NA U16 VN_0 NA 0 NA NA CONFIG NA P9 VCCBATT_0 NA 0 NA NA CONFIG NA T9 CCLK_0 NA 0 NA NA CONFIG NA M8 TCK_0 NA 0 NA NA CONFIG NA N9 TMS_0 NA 0 NA NA CONFIG NA N8 TDO_0 NA 0 NA NA CONFIG NA L8 TDI_0 NA 0 NA NA CONFIG NA T8 INIT_B_0 NA 0 NA NA CONFIG NA U8 PROGRAM_B_0 NA 0 NA NA CONFIG NA V9 CFGBVS_0 NA 0 NA NA CONFIG NA V8 DONE_0 NA 0 NA NA CONFIG NA Y8 M2_0 NA 0 NA NA CONFIG NA AC8 M0_0 NA 0 NA NA CONFIG NA AB8 M1_0 NA 0 NA NA CONFIG NA AF25 IO_0_VRN_14 NA 14 0 NA HP NA AN33 IO_L1P_T0_D00_MOSI_14 0 14 0 NA HP NA AN34 IO_L1N_T0_D01_DIN_14 0 14 0 NA HP NA AK34 IO_L2P_T0_D02_14 0 14 0 NA HP NA AL34 IO_L2N_T0_D03_14 0 14 0 NA HP NA AP32 IO_L3P_T0_DQS_PUDC_B_14 0 14 0 NA HP NA AP33 IO_L3N_T0_DQS_EMCCLK_14 0 14 0 NA HP NA AK32 IO_L4P_T0_D04_14 0 14 0 NA HP NA AK33 IO_L4N_T0_D05_14 0 14 0 NA HP NA AM32 IO_L5P_T0_D06_14 0 14 0 NA HP NA AN32 IO_L5N_T0_D07_14 0 14 0 NA HP NA AL33 IO_L6P_T0_FCS_B_14 0 14 0 NA HP NA AM33 IO_L6N_T0_D08_VREF_14 0 14 0 NA HP NA AP30 IO_L7P_T1_D09_14 1 14 0 NA HP NA AP31 IO_L7N_T1_D10_14 1 14 0 NA HP NA AJ30 IO_L8P_T1_D11_14 1 14 0 NA HP NA AK31 IO_L8N_T1_D12_14 1 14 0 NA HP NA AM30 IO_L9P_T1_DQS_14 1 14 0 NA HP NA AN30 IO_L9N_T1_DQS_D13_14 1 14 0 NA HP NA AJ29 IO_L10P_T1_D14_14 1 14 0 NA HP NA AK29 IO_L10N_T1_D15_14 1 14 0 NA HP NA AL31 IO_L11P_T1_SRCC_14 1 14 0 NA HP NA AM31 IO_L11N_T1_SRCC_14 1 14 0 NA HP NA AL29 IO_L12P_T1_MRCC_14 1 14 0 NA HP NA AL30 IO_L12N_T1_MRCC_14 1 14 0 NA HP NA AK28 IO_L13P_T2_MRCC_14 2 14 0 NA HP NA AL28 IO_L13N_T2_MRCC_14 2 14 0 NA HP NA AK26 IO_L14P_T2_SRCC_14 2 14 0 NA HP NA AK27 IO_L14N_T2_SRCC_14 2 14 0 NA HP NA AJ26 IO_L15P_T2_DQS_RDWR_B_14 2 14 0 NA HP NA AJ27 IO_L15N_T2_DQS_DOUT_CSO_B_14 2 14 0 NA HP NA AG25 IO_L16P_T2_CSI_B_14 2 14 0 NA HP NA AH25 IO_L16N_T2_A15_D31_14 2 14 0 NA HP NA AH24 IO_L17P_T2_A14_D30_14 2 14 0 NA HP NA AJ25 IO_L17N_T2_A13_D29_14 2 14 0 NA HP NA AL25 IO_L18P_T2_A12_D28_14 2 14 0 NA HP NA AL26 IO_L18N_T2_A11_D27_14 2 14 0 NA HP NA AM26 IO_L19P_T3_A10_D26_14 3 14 0 NA HP NA AM27 IO_L19N_T3_A09_D25_VREF_14 3 14 0 NA HP NA AN29 IO_L20P_T3_A08_D24_14 3 14 0 NA HP NA AP29 IO_L20N_T3_A07_D23_14 3 14 0 NA HP NA AM25 IO_L21P_T3_DQS_14 3 14 0 NA HP NA AN25 IO_L21N_T3_DQS_A06_D22_14 3 14 0 NA HP NA AM28 IO_L22P_T3_A05_D21_14 3 14 0 NA HP NA AN28 IO_L22N_T3_A04_D20_14 3 14 0 NA HP NA AP25 IO_L23P_T3_A03_D19_14 3 14 0 NA HP NA AP26 IO_L23N_T3_A02_D18_14 3 14 0 NA HP NA AN27 IO_L24P_T3_A01_D17_14 3 14 0 NA HP NA AP27 IO_L24N_T3_A00_D16_14 3 14 0 NA HP NA AF24 IO_25_VRP_14 NA 14 0 NA HP NA AC27 IO_0_VRN_15 NA 15 0 NA HP NA AF33 IO_L1P_T0_AD0P_15 0 15 0 NA HP NA AF34 IO_L1N_T0_AD0N_15 0 15 0 NA HP NA AD34 IO_L2P_T0_AD8P_15 0 15 0 NA HP NA AE34 IO_L2N_T0_AD8N_15 0 15 0 NA HP NA AG32 IO_L3P_T0_DQS_AD1P_15 0 15 0 NA HP NA AH32 IO_L3N_T0_DQS_AD1N_15 0 15 0 NA HP NA AH34 IO_L4P_T0_15 0 15 0 NA HP NA AJ34 IO_L4N_T0_15 0 15 0 NA HP NA AJ31 IO_L5P_T0_AD9P_15 0 15 0 NA HP NA AJ32 IO_L5N_T0_AD9N_15 0 15 0 NA HP NA AG33 IO_L6P_T0_15 0 15 0 NA HP NA AH33 IO_L6N_T0_VREF_15 0 15 0 NA HP NA AE32 IO_L7P_T1_AD2P_15 1 15 0 NA HP NA AE33 IO_L7N_T1_AD2N_15 1 15 0 NA HP NA AC30 IO_L8P_T1_AD10P_15 1 15 0 NA HP NA AD30 IO_L8N_T1_AD10N_15 1 15 0 NA HP NA AG30 IO_L9P_T1_DQS_AD3P_15 1 15 0 NA HP NA AH30 IO_L9N_T1_DQS_AD3N_15 1 15 0 NA HP NA AD31 IO_L10P_T1_AD11P_15 1 15 0 NA HP NA AD32 IO_L10N_T1_AD11N_15 1 15 0 NA HP NA AF30 IO_L11P_T1_SRCC_15 1 15 0 NA HP NA AG31 IO_L11N_T1_SRCC_15 1 15 0 NA HP NA AE31 IO_L12P_T1_MRCC_15 1 15 0 NA HP NA AF31 IO_L12N_T1_MRCC_15 1 15 0 NA HP NA AD29 IO_L13P_T2_MRCC_15 2 15 0 NA HP NA AE29 IO_L13N_T2_MRCC_15 2 15 0 NA HP NA AE28 IO_L14P_T2_SRCC_15 2 15 0 NA HP NA AF29 IO_L14N_T2_SRCC_15 2 15 0 NA HP NA AC28 IO_L15P_T2_DQS_15 2 15 0 NA HP NA AC29 IO_L15N_T2_DQS_ADV_B_15 2 15 0 NA HP NA AE27 IO_L16P_T2_A28_15 2 15 0 NA HP NA AF28 IO_L16N_T2_A27_15 2 15 0 NA HP NA AG28 IO_L17P_T2_A26_15 2 15 0 NA HP NA AH29 IO_L17N_T2_A25_15 2 15 0 NA HP NA AH27 IO_L18P_T2_A24_15 2 15 0 NA HP NA AH28 IO_L18N_T2_A23_15 2 15 0 NA HP NA AD26 IO_L19P_T3_A22_15 3 15 0 NA HP NA AD27 IO_L19N_T3_A21_VREF_15 3 15 0 NA HP NA AG26 IO_L20P_T3_A20_15 3 15 0 NA HP NA AG27 IO_L20N_T3_A19_15 3 15 0 NA HP NA AE26 IO_L21P_T3_DQS_15 3 15 0 NA HP NA AF26 IO_L21N_T3_DQS_A18_15 3 15 0 NA HP NA AE23 IO_L22P_T3_A17_15 3 15 0 NA HP NA AE24 IO_L22N_T3_A16_15 3 15 0 NA HP NA AC25 IO_L23P_T3_FOE_B_15 3 15 0 NA HP NA AD25 IO_L23N_T3_FWE_B_15 3 15 0 NA HP NA AC24 IO_L24P_T3_RS1_15 3 15 0 NA HP NA AD24 IO_L24N_T3_RS0_15 3 15 0 NA HP NA AC23 IO_25_VRP_15 NA 15 0 NA HP NA V23 IO_0_VRN_16 NA 16 0 NA HP NA AA33 IO_L1P_T0_16 0 16 0 NA HP NA AA34 IO_L1N_T0_16 0 16 0 NA HP NA V34 IO_L2P_T0_16 0 16 0 NA HP NA W34 IO_L2N_T0_16 0 16 0 NA HP NA AB33 IO_L3P_T0_DQS_16 0 16 0 NA HP NA AC34 IO_L3N_T0_DQS_16 0 16 0 NA HP NA Y33 IO_L4P_T0_16 0 16 0 NA HP NA Y34 IO_L4N_T0_16 0 16 0 NA HP NA AC32 IO_L5P_T0_16 0 16 0 NA HP NA AC33 IO_L5N_T0_16 0 16 0 NA HP NA V32 IO_L6P_T0_16 0 16 0 NA HP NA V33 IO_L6N_T0_VREF_16 0 16 0 NA HP NA AB31 IO_L7P_T1_16 1 16 0 NA HP NA AB32 IO_L7N_T1_16 1 16 0 NA HP NA U30 IO_L8P_T1_16 1 16 0 NA HP NA V30 IO_L8N_T1_16 1 16 0 NA HP NA AA30 IO_L9P_T1_DQS_16 1 16 0 NA HP NA AB30 IO_L9N_T1_DQS_16 1 16 0 NA HP NA W32 IO_L10P_T1_16 1 16 0 NA HP NA Y32 IO_L10N_T1_16 1 16 0 NA HP NA Y31 IO_L11P_T1_SRCC_16 1 16 0 NA HP NA AA31 IO_L11N_T1_SRCC_16 1 16 0 NA HP NA W30 IO_L12P_T1_MRCC_16 1 16 0 NA HP NA W31 IO_L12N_T1_MRCC_16 1 16 0 NA HP NA AA28 IO_L13P_T2_MRCC_16 2 16 0 NA HP NA AA29 IO_L13N_T2_MRCC_16 2 16 0 NA HP NA Y28 IO_L14P_T2_SRCC_16 2 16 0 NA HP NA Y29 IO_L14N_T2_SRCC_16 2 16 0 NA HP NA V27 IO_L15P_T2_DQS_16 2 16 0 NA HP NA V28 IO_L15N_T2_DQS_16 2 16 0 NA HP NA V29 IO_L16P_T2_16 2 16 0 NA HP NA W29 IO_L16N_T2_16 2 16 0 NA HP NA W26 IO_L17P_T2_16 2 16 0 NA HP NA W27 IO_L17N_T2_16 2 16 0 NA HP NA AB27 IO_L18P_T2_16 2 16 0 NA HP NA AB28 IO_L18N_T2_16 2 16 0 NA HP NA Y26 IO_L19P_T3_16 3 16 0 NA HP NA Y27 IO_L19N_T3_VREF_16 3 16 0 NA HP NA V24 IO_L20P_T3_16 3 16 0 NA HP NA V25 IO_L20N_T3_16 3 16 0 NA HP NA AA26 IO_L21P_T3_DQS_16 3 16 0 NA HP NA AB26 IO_L21N_T3_DQS_16 3 16 0 NA HP NA W24 IO_L22P_T3_16 3 16 0 NA HP NA W25 IO_L22N_T3_16 3 16 0 NA HP NA Y24 IO_L23P_T3_16 3 16 0 NA HP NA AA25 IO_L23N_T3_16 3 16 0 NA HP NA AA23 IO_L24P_T3_16 3 16 0 NA HP NA AA24 IO_L24N_T3_16 3 16 0 NA HP NA AB25 IO_25_VRP_16 NA 16 0 NA HP NA N23 IO_0_VRN_17 NA 17 1 NA HP NA N24 IO_L1P_T0_17 0 17 1 NA HP NA N25 IO_L1N_T0_17 0 17 1 NA HP NA N27 IO_L2P_T0_17 0 17 1 NA HP NA N28 IO_L2N_T0_17 0 17 1 NA HP NA R23 IO_L3P_T0_DQS_17 0 17 1 NA HP NA R24 IO_L3N_T0_DQS_17 0 17 1 NA HP NA P24 IO_L4P_T0_17 0 17 1 NA HP NA P25 IO_L4N_T0_17 0 17 1 NA HP NA T24 IO_L5P_T0_17 0 17 1 NA HP NA T25 IO_L5N_T0_17 0 17 1 NA HP NA P26 IO_L6P_T0_17 0 17 1 NA HP NA P27 IO_L6N_T0_VREF_17 0 17 1 NA HP NA N29 IO_L7P_T1_17 1 17 1 NA HP NA N30 IO_L7N_T1_17 1 17 1 NA HP NA M30 IO_L8P_T1_17 1 17 1 NA HP NA M31 IO_L8N_T1_17 1 17 1 NA HP NA T31 IO_L9P_T1_DQS_17 1 17 1 NA HP NA R32 IO_L9N_T1_DQS_17 1 17 1 NA HP NA U31 IO_L10P_T1_17 1 17 1 NA HP NA U32 IO_L10N_T1_17 1 17 1 NA HP NA R31 IO_L11P_T1_SRCC_17 1 17 1 NA HP NA P31 IO_L11N_T1_SRCC_17 1 17 1 NA HP NA P29 IO_L12P_T1_MRCC_17 1 17 1 NA HP NA P30 IO_L12N_T1_MRCC_17 1 17 1 NA HP NA R28 IO_L13P_T2_MRCC_17 2 17 1 NA HP NA R29 IO_L13N_T2_MRCC_17 2 17 1 NA HP NA T29 IO_L14P_T2_SRCC_17 2 17 1 NA HP NA T30 IO_L14N_T2_SRCC_17 2 17 1 NA HP NA R26 IO_L15P_T2_DQS_17 2 17 1 NA HP NA R27 IO_L15N_T2_DQS_17 2 17 1 NA HP NA U28 IO_L16P_T2_17 2 17 1 NA HP NA T28 IO_L16N_T2_17 2 17 1 NA HP NA U26 IO_L17P_T2_17 2 17 1 NA HP NA U27 IO_L17N_T2_17 2 17 1 NA HP NA U25 IO_L18P_T2_17 2 17 1 NA HP NA T26 IO_L18N_T2_17 2 17 1 NA HP NA P32 IO_L19P_T3_17 3 17 1 NA HP NA N32 IO_L19N_T3_VREF_17 3 17 1 NA HP NA M32 IO_L20P_T3_17 3 17 1 NA HP NA M33 IO_L20N_T3_17 3 17 1 NA HP NA T33 IO_L21P_T3_DQS_17 3 17 1 NA HP NA R34 IO_L21N_T3_DQS_17 3 17 1 NA HP NA N33 IO_L22P_T3_17 3 17 1 NA HP NA N34 IO_L22N_T3_17 3 17 1 NA HP NA U33 IO_L23P_T3_17 3 17 1 NA HP NA T34 IO_L23N_T3_17 3 17 1 NA HP NA R33 IO_L24P_T3_17 3 17 1 NA HP NA P34 IO_L24N_T3_17 3 17 1 NA HP NA U23 IO_25_VRP_17 NA 17 1 NA HP NA H27 IO_0_VRN_18 NA 18 1 NA HP NA L23 IO_L1P_T0_18 0 18 1 NA HP NA K23 IO_L1N_T0_18 0 18 1 NA HP NA M27 IO_L2P_T0_18 0 18 1 NA HP NA L28 IO_L2N_T0_18 0 18 1 NA HP NA L24 IO_L3P_T0_DQS_18 0 18 1 NA HP NA K24 IO_L3N_T0_DQS_18 0 18 1 NA HP NA M26 IO_L4P_T0_18 0 18 1 NA HP NA L26 IO_L4N_T0_18 0 18 1 NA HP NA K26 IO_L5P_T0_18 0 18 1 NA HP NA K27 IO_L5N_T0_18 0 18 1 NA HP NA M25 IO_L6P_T0_18 0 18 1 NA HP NA L25 IO_L6N_T0_VREF_18 0 18 1 NA HP NA G33 IO_L7P_T1_18 1 18 1 NA HP NA F34 IO_L7N_T1_18 1 18 1 NA HP NA E32 IO_L8P_T1_18 1 18 1 NA HP NA E33 IO_L8N_T1_18 1 18 1 NA HP NA F30 IO_L9P_T1_DQS_18 1 18 1 NA HP NA F31 IO_L9N_T1_DQS_18 1 18 1 NA HP NA F33 IO_L10P_T1_18 1 18 1 NA HP NA E34 IO_L10N_T1_18 1 18 1 NA HP NA H32 IO_L11P_T1_SRCC_18 1 18 1 NA HP NA G32 IO_L11N_T1_SRCC_18 1 18 1 NA HP NA G30 IO_L12P_T1_MRCC_18 1 18 1 NA HP NA G31 IO_L12N_T1_MRCC_18 1 18 1 NA HP NA H29 IO_L13P_T2_MRCC_18 2 18 1 NA HP NA H30 IO_L13N_T2_MRCC_18 2 18 1 NA HP NA J30 IO_L14P_T2_SRCC_18 2 18 1 NA HP NA J31 IO_L14N_T2_SRCC_18 2 18 1 NA HP NA J27 IO_L15P_T2_DQS_18 2 18 1 NA HP NA H28 IO_L15N_T2_DQS_18 2 18 1 NA HP NA K28 IO_L16P_T2_18 2 18 1 NA HP NA J29 IO_L16N_T2_18 2 18 1 NA HP NA G28 IO_L17P_T2_18 2 18 1 NA HP NA F29 IO_L17N_T2_18 2 18 1 NA HP NA L29 IO_L18P_T2_18 2 18 1 NA HP NA K29 IO_L18N_T2_18 2 18 1 NA HP NA K31 IO_L19P_T3_18 3 18 1 NA HP NA J32 IO_L19N_T3_VREF_18 3 18 1 NA HP NA K32 IO_L20P_T3_18 3 18 1 NA HP NA K33 IO_L20N_T3_18 3 18 1 NA HP NA H33 IO_L21P_T3_DQS_18 3 18 1 NA HP NA H34 IO_L21N_T3_DQS_18 3 18 1 NA HP NA L33 IO_L22P_T3_18 3 18 1 NA HP NA L34 IO_L22N_T3_18 3 18 1 NA HP NA K34 IO_L23P_T3_18 3 18 1 NA HP NA J34 IO_L23N_T3_18 3 18 1 NA HP NA L30 IO_L24P_T3_18 3 18 1 NA HP NA L31 IO_L24N_T3_18 3 18 1 NA HP NA M28 IO_25_VRP_18 NA 18 1 NA HP NA F24 IO_0_VRN_19 NA 19 1 NA HP NA B31 IO_L1P_T0_19 0 19 1 NA HP NA B32 IO_L1N_T0_19 0 19 1 NA HP NA D34 IO_L2P_T0_19 0 19 1 NA HP NA C34 IO_L2N_T0_19 0 19 1 NA HP NA B33 IO_L3P_T0_DQS_19 0 19 1 NA HP NA A33 IO_L3N_T0_DQS_19 0 19 1 NA HP NA C33 IO_L4P_T0_19 0 19 1 NA HP NA B34 IO_L4N_T0_19 0 19 1 NA HP NA E31 IO_L5P_T0_19 0 19 1 NA HP NA D31 IO_L5N_T0_19 0 19 1 NA HP NA D32 IO_L6P_T0_19 0 19 1 NA HP NA C32 IO_L6N_T0_VREF_19 0 19 1 NA HP NA C25 IO_L7P_T1_19 1 19 1 NA HP NA B25 IO_L7N_T1_19 1 19 1 NA HP NA A25 IO_L8P_T1_19 1 19 1 NA HP NA A26 IO_L8N_T1_19 1 19 1 NA HP NA E26 IO_L9P_T1_DQS_19 1 19 1 NA HP NA D26 IO_L9N_T1_DQS_19 1 19 1 NA HP NA B26 IO_L10P_T1_19 1 19 1 NA HP NA B27 IO_L10N_T1_19 1 19 1 NA HP NA E27 IO_L11P_T1_SRCC_19 1 19 1 NA HP NA D27 IO_L11N_T1_SRCC_19 1 19 1 NA HP NA C27 IO_L12P_T1_MRCC_19 1 19 1 NA HP NA B28 IO_L12N_T1_MRCC_19 1 19 1 NA HP NA C30 IO_L13P_T2_MRCC_19 2 19 1 NA HP NA B30 IO_L13N_T2_MRCC_19 2 19 1 NA HP NA C28 IO_L14P_T2_SRCC_19 2 19 1 NA HP NA C29 IO_L14N_T2_SRCC_19 2 19 1 NA HP NA A28 IO_L15P_T2_DQS_19 2 19 1 NA HP NA A29 IO_L15N_T2_DQS_19 2 19 1 NA HP NA D29 IO_L16P_T2_19 2 19 1 NA HP NA D30 IO_L16N_T2_19 2 19 1 NA HP NA A30 IO_L17P_T2_19 2 19 1 NA HP NA A31 IO_L17N_T2_19 2 19 1 NA HP NA E28 IO_L18P_T2_19 2 19 1 NA HP NA E29 IO_L18N_T2_19 2 19 1 NA HP NA J24 IO_L19P_T3_19 3 19 1 NA HP NA H24 IO_L19N_T3_VREF_19 3 19 1 NA HP NA J25 IO_L20P_T3_19 3 19 1 NA HP NA H25 IO_L20N_T3_19 3 19 1 NA HP NA G25 IO_L21P_T3_DQS_19 3 19 1 NA HP NA F25 IO_L21N_T3_DQS_19 3 19 1 NA HP NA G26 IO_L22P_T3_19 3 19 1 NA HP NA F26 IO_L22N_T3_19 3 19 1 NA HP NA E24 IO_L23P_T3_19 3 19 1 NA HP NA D25 IO_L23N_T3_19 3 19 1 NA HP NA G27 IO_L24P_T3_19 3 19 1 NA HP NA F28 IO_L24N_T3_19 3 19 1 NA HP NA J26 IO_25_VRP_19 NA 19 1 NA HP NA AC12 IO_0_VRN_34 NA 34 2 NA HP NA AE9 IO_L1P_T0_34 0 34 2 NA HP NA AE8 IO_L1N_T0_34 0 34 2 NA HP NA AC10 IO_L2P_T0_34 0 34 2 NA HP NA AC9 IO_L2N_T0_34 0 34 2 NA HP NA AE12 IO_L3P_T0_DQS_34 0 34 2 NA HP NA AE11 IO_L3N_T0_DQS_34 0 34 2 NA HP NA AD10 IO_L4P_T0_34 0 34 2 NA HP NA AD9 IO_L4N_T0_34 0 34 2 NA HP NA AF9 IO_L5P_T0_34 0 34 2 NA HP NA AF8 IO_L5N_T0_34 0 34 2 NA HP NA AD12 IO_L6P_T0_34 0 34 2 NA HP NA AD11 IO_L6N_T0_VREF_34 0 34 2 NA HP NA AF11 IO_L7P_T1_34 1 34 2 NA HP NA AG11 IO_L7N_T1_34 1 34 2 NA HP NA AG8 IO_L8P_T1_34 1 34 2 NA HP NA AH8 IO_L8N_T1_34 1 34 2 NA HP NA AG12 IO_L9P_T1_DQS_34 1 34 2 NA HP NA AH12 IO_L9N_T1_DQS_34 1 34 2 NA HP NA AF10 IO_L10P_T1_34 1 34 2 NA HP NA AG10 IO_L10N_T1_34 1 34 2 NA HP NA AH10 IO_L11P_T1_SRCC_34 1 34 2 NA HP NA AJ10 IO_L11N_T1_SRCC_34 1 34 2 NA HP NA AH9 IO_L12P_T1_MRCC_34 1 34 2 NA HP NA AJ9 IO_L12N_T1_MRCC_34 1 34 2 NA HP NA AJ11 IO_L13P_T2_MRCC_34 2 34 2 NA HP NA AK11 IO_L13N_T2_MRCC_34 2 34 2 NA HP NA AJ12 IO_L14P_T2_SRCC_34 2 34 2 NA HP NA AK12 IO_L14N_T2_SRCC_34 2 34 2 NA HP NA AK8 IO_L15P_T2_DQS_34 2 34 2 NA HP NA AL8 IO_L15N_T2_DQS_34 2 34 2 NA HP NA AL10 IO_L16P_T2_34 2 34 2 NA HP NA AM10 IO_L16N_T2_34 2 34 2 NA HP NA AK9 IO_L17P_T2_34 2 34 2 NA HP NA AL9 IO_L17N_T2_34 2 34 2 NA HP NA AL11 IO_L18P_T2_34 2 34 2 NA HP NA AM11 IO_L18N_T2_34 2 34 2 NA HP NA AM12 IO_L19P_T3_34 3 34 2 NA HP NA AN12 IO_L19N_T3_VREF_34 3 34 2 NA HP NA AN9 IO_L20P_T3_34 3 34 2 NA HP NA AP9 IO_L20N_T3_34 3 34 2 NA HP NA AN10 IO_L21P_T3_DQS_34 3 34 2 NA HP NA AP10 IO_L21N_T3_DQS_34 3 34 2 NA HP NA AP12 IO_L22P_T3_34 3 34 2 NA HP NA AP11 IO_L22N_T3_34 3 34 2 NA HP NA AM13 IO_L23P_T3_34 3 34 2 NA HP NA AN13 IO_L23N_T3_34 3 34 2 NA HP NA AK13 IO_L24P_T3_34 3 34 2 NA HP NA AL13 IO_L24N_T3_34 3 34 2 NA HP NA AH13 IO_25_VRP_34 NA 34 2 NA HP NA AE18 IO_0_VRN_35 NA 35 2 NA HP NA AN15 IO_L1P_T0_AD4P_35 0 35 2 NA HP NA AP15 IO_L1N_T0_AD4N_35 0 35 2 NA HP NA AM18 IO_L2P_T0_AD12P_35 0 35 2 NA HP NA AN18 IO_L2N_T0_AD12N_35 0 35 2 NA HP NA AL16 IO_L3P_T0_DQS_AD5P_35 0 35 2 NA HP NA AM16 IO_L3N_T0_DQS_AD5N_35 0 35 2 NA HP NA AP17 IO_L4P_T0_35 0 35 2 NA HP NA AP16 IO_L4N_T0_35 0 35 2 NA HP NA AN14 IO_L5P_T0_AD13P_35 0 35 2 NA HP NA AP14 IO_L5N_T0_AD13N_35 0 35 2 NA HP NA AM17 IO_L6P_T0_35 0 35 2 NA HP NA AN17 IO_L6N_T0_VREF_35 0 35 2 NA HP NA AL15 IO_L7P_T1_AD6P_35 1 35 2 NA HP NA AM15 IO_L7N_T1_AD6N_35 1 35 2 NA HP NA AK18 IO_L8P_T1_AD14P_35 1 35 2 NA HP NA AL18 IO_L8N_T1_AD14N_35 1 35 2 NA HP NA AK14 IO_L9P_T1_DQS_AD7P_35 1 35 2 NA HP NA AL14 IO_L9N_T1_DQS_AD7N_35 1 35 2 NA HP NA AJ17 IO_L10P_T1_AD15P_35 1 35 2 NA HP NA AK17 IO_L10N_T1_AD15N_35 1 35 2 NA HP NA AJ16 IO_L11P_T1_SRCC_35 1 35 2 NA HP NA AK16 IO_L11N_T1_SRCC_35 1 35 2 NA HP NA AH15 IO_L12P_T1_MRCC_35 1 35 2 NA HP NA AJ15 IO_L12N_T1_MRCC_35 1 35 2 NA HP NA AG17 IO_L13P_T2_MRCC_35 2 35 2 NA HP NA AH17 IO_L13N_T2_MRCC_35 2 35 2 NA HP NA AF16 IO_L14P_T2_SRCC_35 2 35 2 NA HP NA AG16 IO_L14N_T2_SRCC_35 2 35 2 NA HP NA AF18 IO_L15P_T2_DQS_35 2 35 2 NA HP NA AG18 IO_L15N_T2_DQS_35 2 35 2 NA HP NA AF15 IO_L16P_T2_35 2 35 2 NA HP NA AG15 IO_L16N_T2_35 2 35 2 NA HP NA AH19 IO_L17P_T2_35 2 35 2 NA HP NA AH18 IO_L17N_T2_35 2 35 2 NA HP NA AH14 IO_L18P_T2_35 2 35 2 NA HP NA AJ14 IO_L18N_T2_35 2 35 2 NA HP NA AF13 IO_L19P_T3_35 3 35 2 NA HP NA AG13 IO_L19N_T3_VREF_35 3 35 2 NA HP NA AE17 IO_L20P_T3_35 3 35 2 NA HP NA AE16 IO_L20N_T3_35 3 35 2 NA HP NA AE14 IO_L21P_T3_DQS_35 3 35 2 NA HP NA AF14 IO_L21N_T3_DQS_35 3 35 2 NA HP NA AC17 IO_L22P_T3_35 3 35 2 NA HP NA AD17 IO_L22N_T3_35 3 35 2 NA HP NA AD14 IO_L23P_T3_35 3 35 2 NA HP NA AE13 IO_L23N_T3_35 3 35 2 NA HP NA AD16 IO_L24P_T3_35 3 35 2 NA HP NA AD15 IO_L24N_T3_35 3 35 2 NA HP NA AC15 IO_25_VRP_35 NA 35 2 NA HP NA AC22 IO_0_VRN_36 NA 36 2 NA HP NA AN24 IO_L1P_T0_36 0 36 2 NA HP NA AP24 IO_L1N_T0_36 0 36 2 NA HP NA AN20 IO_L2P_T0_36 0 36 2 NA HP NA AP20 IO_L2N_T0_36 0 36 2 NA HP NA AM22 IO_L3P_T0_DQS_36 0 36 2 NA HP NA AN22 IO_L3N_T0_DQS_36 0 36 2 NA HP NA AN19 IO_L4P_T0_36 0 36 2 NA HP NA AP19 IO_L4N_T0_36 0 36 2 NA HP NA AP21 IO_L5P_T0_36 0 36 2 NA HP NA AP22 IO_L5N_T0_36 0 36 2 NA HP NA AM23 IO_L6P_T0_36 0 36 2 NA HP NA AN23 IO_L6N_T0_VREF_36 0 36 2 NA HP NA AM20 IO_L7P_T1_36 1 36 2 NA HP NA AM21 IO_L7N_T1_36 1 36 2 NA HP NA AK24 IO_L8P_T1_36 1 36 2 NA HP NA AL24 IO_L8N_T1_36 1 36 2 NA HP NA AK19 IO_L9P_T1_DQS_36 1 36 2 NA HP NA AL19 IO_L9N_T1_DQS_36 1 36 2 NA HP NA AK23 IO_L10P_T1_36 1 36 2 NA HP NA AL23 IO_L10N_T1_36 1 36 2 NA HP NA AL20 IO_L11P_T1_SRCC_36 1 36 2 NA HP NA AL21 IO_L11N_T1_SRCC_36 1 36 2 NA HP NA AJ22 IO_L12P_T1_MRCC_36 1 36 2 NA HP NA AK22 IO_L12N_T1_MRCC_36 1 36 2 NA HP NA AG22 IO_L13P_T2_MRCC_36 2 36 2 NA HP NA AH22 IO_L13N_T2_MRCC_36 2 36 2 NA HP NA AJ21 IO_L14P_T2_SRCC_36 2 36 2 NA HP NA AK21 IO_L14N_T2_SRCC_36 2 36 2 NA HP NA AF23 IO_L15P_T2_DQS_36 2 36 2 NA HP NA AG23 IO_L15N_T2_DQS_36 2 36 2 NA HP NA AJ19 IO_L16P_T2_36 2 36 2 NA HP NA AJ20 IO_L16N_T2_36 2 36 2 NA HP NA AH23 IO_L17P_T2_36 2 36 2 NA HP NA AJ24 IO_L17N_T2_36 2 36 2 NA HP NA AG20 IO_L18P_T2_36 2 36 2 NA HP NA AH20 IO_L18N_T2_36 2 36 2 NA HP NA AC19 IO_L19P_T3_36 3 36 2 NA HP NA AD20 IO_L19N_T3_VREF_36 3 36 2 NA HP NA AD22 IO_L20P_T3_36 3 36 2 NA HP NA AE22 IO_L20N_T3_36 3 36 2 NA HP NA AF19 IO_L21P_T3_DQS_36 3 36 2 NA HP NA AF20 IO_L21N_T3_DQS_36 3 36 2 NA HP NA AD21 IO_L22P_T3_36 3 36 2 NA HP NA AE21 IO_L22N_T3_36 3 36 2 NA HP NA AD19 IO_L23P_T3_36 3 36 2 NA HP NA AE19 IO_L23N_T3_36 3 36 2 NA HP NA AF21 IO_L24P_T3_36 3 36 2 NA HP NA AG21 IO_L24N_T3_36 3 36 2 NA HP NA AC18 IO_25_VRP_36 NA 36 2 NA HP NA H18 IO_0_VRN_37 NA 37 3 NA HP NA L19 IO_L1P_T0_37 0 37 3 NA HP NA K19 IO_L1N_T0_37 0 37 3 NA HP NA M18 IO_L2P_T0_37 0 37 3 NA HP NA L18 IO_L2N_T0_37 0 37 3 NA HP NA J20 IO_L3P_T0_DQS_37 0 37 3 NA HP NA H20 IO_L3N_T0_DQS_37 0 37 3 NA HP NA K18 IO_L4P_T0_37 0 37 3 NA HP NA J19 IO_L4N_T0_37 0 37 3 NA HP NA M20 IO_L5P_T0_37 0 37 3 NA HP NA L20 IO_L5N_T0_37 0 37 3 NA HP NA H19 IO_L6P_T0_37 0 37 3 NA HP NA G20 IO_L6N_T0_VREF_37 0 37 3 NA HP NA A19 IO_L7P_T1_37 1 37 3 NA HP NA A20 IO_L7N_T1_37 1 37 3 NA HP NA E19 IO_L8P_T1_37 1 37 3 NA HP NA D19 IO_L8N_T1_37 1 37 3 NA HP NA B21 IO_L9P_T1_DQS_37 1 37 3 NA HP NA A21 IO_L9N_T1_DQS_37 1 37 3 NA HP NA C19 IO_L10P_T1_37 1 37 3 NA HP NA B20 IO_L10N_T1_37 1 37 3 NA HP NA D20 IO_L11P_T1_SRCC_37 1 37 3 NA HP NA C20 IO_L11N_T1_SRCC_37 1 37 3 NA HP NA E21 IO_L12P_T1_MRCC_37 1 37 3 NA HP NA D21 IO_L12N_T1_MRCC_37 1 37 3 NA HP NA G21 IO_L13P_T2_MRCC_37 2 37 3 NA HP NA F21 IO_L13N_T2_MRCC_37 2 37 3 NA HP NA H22 IO_L14P_T2_SRCC_37 2 37 3 NA HP NA G22 IO_L14N_T2_SRCC_37 2 37 3 NA HP NA F19 IO_L15P_T2_DQS_37 2 37 3 NA HP NA F20 IO_L15N_T2_DQS_37 2 37 3 NA HP NA H23 IO_L16P_T2_37 2 37 3 NA HP NA G23 IO_L16N_T2_37 2 37 3 NA HP NA K21 IO_L17P_T2_37 2 37 3 NA HP NA J21 IO_L17N_T2_37 2 37 3 NA HP NA K22 IO_L18P_T2_37 2 37 3 NA HP NA J22 IO_L18N_T2_37 2 37 3 NA HP NA E22 IO_L19P_T3_37 3 37 3 NA HP NA D22 IO_L19N_T3_VREF_37 3 37 3 NA HP NA A23 IO_L20P_T3_37 3 37 3 NA HP NA A24 IO_L20N_T3_37 3 37 3 NA HP NA C22 IO_L21P_T3_DQS_37 3 37 3 NA HP NA B22 IO_L21N_T3_DQS_37 3 37 3 NA HP NA C23 IO_L22P_T3_37 3 37 3 NA HP NA B23 IO_L22N_T3_37 3 37 3 NA HP NA F23 IO_L23P_T3_37 3 37 3 NA HP NA E23 IO_L23N_T3_37 3 37 3 NA HP NA D24 IO_L24P_T3_37 3 37 3 NA HP NA C24 IO_L24N_T3_37 3 37 3 NA HP NA L21 IO_25_VRP_37 NA 37 3 NA HP NA L16 IO_0_VRN_38 NA 38 3 NA HP NA J15 IO_L1P_T0_38 0 38 3 NA HP NA H15 IO_L1N_T0_38 0 38 3 NA HP NA M15 IO_L2P_T0_38 0 38 3 NA HP NA L15 IO_L2N_T0_38 0 38 3 NA HP NA M17 IO_L3P_T0_DQS_38 0 38 3 NA HP NA M16 IO_L3N_T0_DQS_38 0 38 3 NA HP NA L14 IO_L4P_T0_38 0 38 3 NA HP NA K14 IO_L4N_T0_38 0 38 3 NA HP NA K17 IO_L5P_T0_38 0 38 3 NA HP NA J17 IO_L5N_T0_38 0 38 3 NA HP NA K16 IO_L6P_T0_38 0 38 3 NA HP NA J16 IO_L6N_T0_VREF_38 0 38 3 NA HP NA F14 IO_L7P_T1_38 1 38 3 NA HP NA E13 IO_L7N_T1_38 1 38 3 NA HP NA J14 IO_L8P_T1_38 1 38 3 NA HP NA H14 IO_L8N_T1_38 1 38 3 NA HP NA H17 IO_L9P_T1_DQS_38 1 38 3 NA HP NA G17 IO_L9N_T1_DQS_38 1 38 3 NA HP NA G13 IO_L10P_T1_38 1 38 3 NA HP NA F13 IO_L10N_T1_38 1 38 3 NA HP NA G16 IO_L11P_T1_SRCC_38 1 38 3 NA HP NA F16 IO_L11N_T1_SRCC_38 1 38 3 NA HP NA G15 IO_L12P_T1_MRCC_38 1 38 3 NA HP NA F15 IO_L12N_T1_MRCC_38 1 38 3 NA HP NA E16 IO_L13P_T2_MRCC_38 2 38 3 NA HP NA D16 IO_L13N_T2_MRCC_38 2 38 3 NA HP NA E17 IO_L14P_T2_SRCC_38 2 38 3 NA HP NA D17 IO_L14N_T2_SRCC_38 2 38 3 NA HP NA E14 IO_L15P_T2_DQS_38 2 38 3 NA HP NA D14 IO_L15N_T2_DQS_38 2 38 3 NA HP NA F18 IO_L16P_T2_38 2 38 3 NA HP NA E18 IO_L16N_T2_38 2 38 3 NA HP NA D15 IO_L17P_T2_38 2 38 3 NA HP NA C14 IO_L17N_T2_38 2 38 3 NA HP NA C18 IO_L18P_T2_38 2 38 3 NA HP NA C17 IO_L18N_T2_38 2 38 3 NA HP NA C13 IO_L19P_T3_38 3 38 3 NA HP NA B13 IO_L19N_T3_VREF_38 3 38 3 NA HP NA B16 IO_L20P_T3_38 3 38 3 NA HP NA A15 IO_L20N_T3_38 3 38 3 NA HP NA A14 IO_L21P_T3_DQS_38 3 38 3 NA HP NA A13 IO_L21N_T3_DQS_38 3 38 3 NA HP NA B17 IO_L22P_T3_38 3 38 3 NA HP NA A16 IO_L22N_T3_38 3 38 3 NA HP NA C15 IO_L23P_T3_38 3 38 3 NA HP NA B15 IO_L23N_T3_38 3 38 3 NA HP NA B18 IO_L24P_T3_38 3 38 3 NA HP NA A18 IO_L24N_T3_38 3 38 3 NA HP NA G18 IO_25_VRP_38 NA 38 3 NA HP NA H13 IO_0_VRN_39 NA 39 3 NA HP NA A9 IO_L1P_T0_39 0 39 3 NA HP NA A8 IO_L1N_T0_39 0 39 3 NA HP NA A11 IO_L2P_T0_39 0 39 3 NA HP NA A10 IO_L2N_T0_39 0 39 3 NA HP NA C9 IO_L3P_T0_DQS_39 0 39 3 NA HP NA B8 IO_L3N_T0_DQS_39 0 39 3 NA HP NA B11 IO_L4P_T0_39 0 39 3 NA HP NA B10 IO_L4N_T0_39 0 39 3 NA HP NA D9 IO_L5P_T0_39 0 39 3 NA HP NA C8 IO_L5N_T0_39 0 39 3 NA HP NA C12 IO_L6P_T0_39 0 39 3 NA HP NA B12 IO_L6N_T0_VREF_39 0 39 3 NA HP NA E9 IO_L7P_T1_39 1 39 3 NA HP NA E8 IO_L7N_T1_39 1 39 3 NA HP NA E12 IO_L8P_T1_39 1 39 3 NA HP NA D12 IO_L8N_T1_39 1 39 3 NA HP NA F9 IO_L9P_T1_DQS_39 1 39 3 NA HP NA F8 IO_L9N_T1_DQS_39 1 39 3 NA HP NA D10 IO_L10P_T1_39 1 39 3 NA HP NA C10 IO_L10N_T1_39 1 39 3 NA HP NA E11 IO_L11P_T1_SRCC_39 1 39 3 NA HP NA D11 IO_L11N_T1_SRCC_39 1 39 3 NA HP NA F11 IO_L12P_T1_MRCC_39 1 39 3 NA HP NA F10 IO_L12N_T1_MRCC_39 1 39 3 NA HP NA G11 IO_L13P_T2_MRCC_39 2 39 3 NA HP NA G10 IO_L13N_T2_MRCC_39 2 39 3 NA HP NA H10 IO_L14P_T2_SRCC_39 2 39 3 NA HP NA H9 IO_L14N_T2_SRCC_39 2 39 3 NA HP NA J10 IO_L15P_T2_DQS_39 2 39 3 NA HP NA J9 IO_L15N_T2_DQS_39 2 39 3 NA HP NA H12 IO_L16P_T2_39 2 39 3 NA HP NA G12 IO_L16N_T2_39 2 39 3 NA HP NA K11 IO_L17P_T2_39 2 39 3 NA HP NA J11 IO_L17N_T2_39 2 39 3 NA HP NA H8 IO_L18P_T2_39 2 39 3 NA HP NA G8 IO_L18N_T2_39 2 39 3 NA HP NA K12 IO_L19P_T3_39 3 39 3 NA HP NA J12 IO_L19N_T3_VREF_39 3 39 3 NA HP NA L9 IO_L20P_T3_39 3 39 3 NA HP NA K9 IO_L20N_T3_39 3 39 3 NA HP NA L13 IO_L21P_T3_DQS_39 3 39 3 NA HP NA K13 IO_L21N_T3_DQS_39 3 39 3 NA HP NA M10 IO_L22P_T3_39 3 39 3 NA HP NA L10 IO_L22N_T3_39 3 39 3 NA HP NA M13 IO_L23P_T3_39 3 39 3 NA HP NA M12 IO_L23N_T3_39 3 39 3 NA HP NA M11 IO_L24P_T3_39 3 39 3 NA HP NA L11 IO_L24N_T3_39 3 39 3 NA HP NA K8 IO_25_VRP_39 NA 39 3 NA HP NA AK2 MGTXTXP3_114 NA 114 NA NA GTX NA AJ4 MGTXRXP3_114 NA 114 NA NA GTX NA AK1 MGTXTXN3_114 NA 114 NA NA GTX NA AJ3 MGTXRXN3_114 NA 114 NA NA GTX NA AM2 MGTXTXP2_114 NA 114 NA NA GTX NA AL4 MGTXRXP2_114 NA 114 NA NA GTX NA AM1 MGTXTXN2_114 NA 114 NA NA GTX NA AH6 MGTREFCLK0P_114 NA 114 NA NA GTX NA AL3 MGTXRXN2_114 NA 114 NA NA GTX NA AH5 MGTREFCLK0N_114 NA 114 NA NA GTX NA AK5 MGTREFCLK1N_114 NA 114 NA NA GTX NA AK6 MGTREFCLK1P_114 NA 114 NA NA GTX NA AN4 MGTXTXP1_114 NA 114 NA NA GTX NA AM6 MGTXRXP1_114 NA 114 NA NA GTX NA AN3 MGTXTXN1_114 NA 114 NA NA GTX NA AM5 MGTXRXN1_114 NA 114 NA NA GTX NA AP2 MGTXTXP0_114 NA 114 NA NA GTX NA AP6 MGTXRXP0_114 NA 114 NA NA GTX NA AP1 MGTXTXN0_114 NA 114 NA NA GTX NA AP5 MGTXRXN0_114 NA 114 NA NA GTX NA AB2 MGTXTXP3_115 NA 115 NA NA GTX NA AC4 MGTXRXP3_115 NA 115 NA NA GTX NA AB1 MGTXTXN3_115 NA 115 NA NA GTX NA AC3 MGTXRXN3_115 NA 115 NA NA GTX NA AD2 MGTXTXP2_115 NA 115 NA NA GTX NA AE4 MGTXRXP2_115 NA 115 NA NA GTX NA AD1 MGTXTXN2_115 NA 115 NA NA GTX NA AB6 MGTREFCLK0P_115 NA 115 NA NA GTX NA AE3 MGTXRXN2_115 NA 115 NA NA GTX NA AP7 MGTAVTTRCAL_115 NA 115 NA NA GTX NA AB5 MGTREFCLK0N_115 NA 115 NA NA GTX NA AN7 MGTRREF_115 NA 115 NA NA GTX NA AD5 MGTREFCLK1N_115 NA 115 NA NA GTX NA AD6 MGTREFCLK1P_115 NA 115 NA NA GTX NA AF2 MGTXTXP1_115 NA 115 NA NA GTX NA AF6 MGTXRXP1_115 NA 115 NA NA GTX NA AF1 MGTXTXN1_115 NA 115 NA NA GTX NA AF5 MGTXRXN1_115 NA 115 NA NA GTX NA AH2 MGTXTXP0_115 NA 115 NA NA GTX NA AG4 MGTXRXP0_115 NA 115 NA NA GTX NA AH1 MGTXTXN0_115 NA 115 NA NA GTX NA AG3 MGTXRXN0_115 NA 115 NA NA GTX NA P2 MGTXTXP3_116 NA 116 NA NA GTX NA R4 MGTXRXP3_116 NA 116 NA NA GTX NA P1 MGTXTXN3_116 NA 116 NA NA GTX NA R3 MGTXRXN3_116 NA 116 NA NA GTX NA T2 MGTXTXP2_116 NA 116 NA NA GTX NA U4 MGTXRXP2_116 NA 116 NA NA GTX NA T1 MGTXTXN2_116 NA 116 NA NA GTX NA T6 MGTREFCLK0P_116 NA 116 NA NA GTX NA U3 MGTXRXN2_116 NA 116 NA NA GTX NA T5 MGTREFCLK0N_116 NA 116 NA NA GTX NA V5 MGTREFCLK1N_116 NA 116 NA NA GTX NA V6 MGTREFCLK1P_116 NA 116 NA NA GTX NA V2 MGTXTXP1_116 NA 116 NA NA GTX NA W4 MGTXRXP1_116 NA 116 NA NA GTX NA V1 MGTXTXN1_116 NA 116 NA NA GTX NA W3 MGTXRXN1_116 NA 116 NA NA GTX NA Y2 MGTXTXP0_116 NA 116 NA NA GTX NA AA4 MGTXRXP0_116 NA 116 NA NA GTX NA Y1 MGTXTXN0_116 NA 116 NA NA GTX NA AA3 MGTXRXN0_116 NA 116 NA NA GTX NA F2 MGTXTXP3_117 NA 117 NA NA GTX NA J4 MGTXRXP3_117 NA 117 NA NA GTX NA F1 MGTXTXN3_117 NA 117 NA NA GTX NA J3 MGTXRXN3_117 NA 117 NA NA GTX NA H2 MGTXTXP2_117 NA 117 NA NA GTX NA K6 MGTXRXP2_117 NA 117 NA NA GTX NA H1 MGTXTXN2_117 NA 117 NA NA GTX NA M6 MGTREFCLK0P_117 NA 117 NA NA GTX NA K5 MGTXRXN2_117 NA 117 NA NA GTX NA M5 MGTREFCLK0N_117 NA 117 NA NA GTX NA P5 MGTREFCLK1N_117 NA 117 NA NA GTX NA P6 MGTREFCLK1P_117 NA 117 NA NA GTX NA K2 MGTXTXP1_117 NA 117 NA NA GTX NA L4 MGTXRXP1_117 NA 117 NA NA GTX NA K1 MGTXTXN1_117 NA 117 NA NA GTX NA L3 MGTXRXN1_117 NA 117 NA NA GTX NA M2 MGTXTXP0_117 NA 117 NA NA GTX NA N4 MGTXRXP0_117 NA 117 NA NA GTX NA M1 MGTXTXN0_117 NA 117 NA NA GTX NA N3 MGTXRXN0_117 NA 117 NA NA GTX NA A4 MGTXTXP3_118 NA 118 NA NA GTX NA B6 MGTXRXP3_118 NA 118 NA NA GTX NA A3 MGTXTXN3_118 NA 118 NA NA GTX NA B5 MGTXRXN3_118 NA 118 NA NA GTX NA B2 MGTXTXP2_118 NA 118 NA NA GTX NA D6 MGTXRXP2_118 NA 118 NA NA GTX NA B1 MGTXTXN2_118 NA 118 NA NA GTX NA F6 MGTREFCLK0P_118 NA 118 NA NA GTX NA D5 MGTXRXN2_118 NA 118 NA NA GTX NA F5 MGTREFCLK0N_118 NA 118 NA NA GTX NA H5 MGTREFCLK1N_118 NA 118 NA NA GTX NA H6 MGTREFCLK1P_118 NA 118 NA NA GTX NA C4 MGTXTXP1_118 NA 118 NA NA GTX NA E4 MGTXRXP1_118 NA 118 NA NA GTX NA C3 MGTXTXN1_118 NA 118 NA NA GTX NA E3 MGTXRXN1_118 NA 118 NA NA GTX NA D2 MGTXTXP0_118 NA 118 NA NA GTX NA G4 MGTXRXP0_118 NA 118 NA NA GTX NA D1 MGTXTXN0_118 NA 118 NA NA GTX NA G3 MGTXRXN0_118 NA 118 NA NA GTX NA AA18 VCCBRAM NA NA NA NA NA NA AB17 VCCBRAM NA NA NA NA NA NA N18 VCCBRAM NA NA NA NA NA NA R18 VCCBRAM NA NA NA NA NA NA U18 VCCBRAM NA NA NA NA NA NA W18 VCCBRAM NA NA NA NA NA NA C6 MGTAVCC_G11 NA NA NA NA NA NA E6 MGTAVCC_G11 NA NA NA NA NA NA G6 MGTAVCC_G11 NA NA NA NA NA NA J6 MGTAVCC_G11 NA NA NA NA NA NA L6 MGTAVCC_G11 NA NA NA NA NA NA AA6 MGTAVCC_G10 NA NA NA NA NA NA AC6 MGTAVCC_G10 NA NA NA NA NA NA AE6 MGTAVCC_G10 NA NA NA NA NA NA AG6 MGTAVCC_G10 NA NA NA NA NA NA AJ6 MGTAVCC_G10 NA NA NA NA NA NA AL6 MGTAVCC_G10 NA NA NA NA NA NA AN6 MGTAVCC_G10 NA NA NA NA NA NA U6 MGTAVCC_G10 NA NA NA NA NA NA W6 MGTAVCC_G10 NA NA NA NA NA NA C2 MGTAVTT_G11 NA NA NA NA NA NA D3 MGTAVTT_G11 NA NA NA NA NA NA G2 MGTAVTT_G11 NA NA NA NA NA NA H3 MGTAVTT_G11 NA NA NA NA NA NA L2 MGTAVTT_G11 NA NA NA NA NA NA M3 MGTAVTT_G11 NA NA NA NA NA NA AC2 MGTAVTT_G10 NA NA NA NA NA NA AD3 MGTAVTT_G10 NA NA NA NA NA NA AG2 MGTAVTT_G10 NA NA NA NA NA NA AH3 MGTAVTT_G10 NA NA NA NA NA NA AL2 MGTAVTT_G10 NA NA NA NA NA NA AM3 MGTAVTT_G10 NA NA NA NA NA NA R2 MGTAVTT_G10 NA NA NA NA NA NA T3 MGTAVTT_G10 NA NA NA NA NA NA W2 MGTAVTT_G10 NA NA NA NA NA NA Y3 MGTAVTT_G10 NA NA NA NA NA NA N6 MGTVCCAUX_G11 NA NA NA NA NA NA R6 MGTVCCAUX_G10 NA NA NA NA NA NA A1 GND NA NA NA NA NA NA A2 GND NA NA NA NA NA NA A5 GND NA NA NA NA NA NA A6 GND NA NA NA NA NA NA A7 GND NA NA NA NA NA NA A17 GND NA NA NA NA NA NA A27 GND NA NA NA NA NA NA A34 GND NA NA NA NA NA NA AA1 GND NA NA NA NA NA NA AA2 GND NA NA NA NA NA NA AA5 GND NA NA NA NA NA NA AA7 GND NA NA NA NA NA NA AA8 GND NA NA NA NA NA NA AA9 GND NA NA NA NA NA NA AA11 GND NA NA NA NA NA NA AA13 GND NA NA NA NA NA NA AA15 GND NA NA NA NA NA NA AA17 GND NA NA NA NA NA NA AA19 GND NA NA NA NA NA NA AA21 GND NA NA NA NA NA NA AA27 GND NA NA NA NA NA NA AB3 GND NA NA NA NA NA NA AB4 GND NA NA NA NA NA NA AB7 GND NA NA NA NA NA NA AB10 GND NA NA NA NA NA NA AB12 GND NA NA NA NA NA NA AB14 GND NA NA NA NA NA NA AB16 GND NA NA NA NA NA NA AB18 GND NA NA NA NA NA NA AB20 GND NA NA NA NA NA NA AB22 GND NA NA NA NA NA NA AB24 GND NA NA NA NA NA NA AB34 GND NA NA NA NA NA NA AC1 GND NA NA NA NA NA NA AC5 GND NA NA NA NA NA NA AC7 GND NA NA NA NA NA NA AC11 GND NA NA NA NA NA NA AC13 GND NA NA NA NA NA NA AC21 GND NA NA NA NA NA NA AC31 GND NA NA NA NA NA NA AD4 GND NA NA NA NA NA NA AD7 GND NA NA NA NA NA NA AD8 GND NA NA NA NA NA NA AD18 GND NA NA NA NA NA NA AD28 GND NA NA NA NA NA NA AE1 GND NA NA NA NA NA NA AE2 GND NA NA NA NA NA NA AE5 GND NA NA NA NA NA NA AE7 GND NA NA NA NA NA NA AE15 GND NA NA NA NA NA NA AE25 GND NA NA NA NA NA NA AF3 GND NA NA NA NA NA NA AF4 GND NA NA NA NA NA NA AF7 GND NA NA NA NA NA NA AF12 GND NA NA NA NA NA NA AF22 GND NA NA NA NA NA NA AF32 GND NA NA NA NA NA NA AG1 GND NA NA NA NA NA NA AG5 GND NA NA NA NA NA NA AG7 GND NA NA NA NA NA NA AG9 GND NA NA NA NA NA NA AG19 GND NA NA NA NA NA NA AG29 GND NA NA NA NA NA NA AH4 GND NA NA NA NA NA NA AH7 GND NA NA NA NA NA NA AH16 GND NA NA NA NA NA NA AH26 GND NA NA NA NA NA NA AJ1 GND NA NA NA NA NA NA AJ2 GND NA NA NA NA NA NA AJ5 GND NA NA NA NA NA NA AJ7 GND NA NA NA NA NA NA AJ13 GND NA NA NA NA NA NA AJ23 GND NA NA NA NA NA NA AJ33 GND NA NA NA NA NA NA AK3 GND NA NA NA NA NA NA AK4 GND NA NA NA NA NA NA AK7 GND NA NA NA NA NA NA AK10 GND NA NA NA NA NA NA AK20 GND NA NA NA NA NA NA AK30 GND NA NA NA NA NA NA AL1 GND NA NA NA NA NA NA AL5 GND NA NA NA NA NA NA AL7 GND NA NA NA NA NA NA AL17 GND NA NA NA NA NA NA AL27 GND NA NA NA NA NA NA AM4 GND NA NA NA NA NA NA AM7 GND NA NA NA NA NA NA AM8 GND NA NA NA NA NA NA AM14 GND NA NA NA NA NA NA AM24 GND NA NA NA NA NA NA AM34 GND NA NA NA NA NA NA AN1 GND NA NA NA NA NA NA AN2 GND NA NA NA NA NA NA AN5 GND NA NA NA NA NA NA AN8 GND NA NA NA NA NA NA AN11 GND NA NA NA NA NA NA AN21 GND NA NA NA NA NA NA AN31 GND NA NA NA NA NA NA AP3 GND NA NA NA NA NA NA AP4 GND NA NA NA NA NA NA AP8 GND NA NA NA NA NA NA AP18 GND NA NA NA NA NA NA AP28 GND NA NA NA NA NA NA B3 GND NA NA NA NA NA NA B4 GND NA NA NA NA NA NA B7 GND NA NA NA NA NA NA B14 GND NA NA NA NA NA NA B24 GND NA NA NA NA NA NA C1 GND NA NA NA NA NA NA C5 GND NA NA NA NA NA NA C7 GND NA NA NA NA NA NA C11 GND NA NA NA NA NA NA C21 GND NA NA NA NA NA NA C31 GND NA NA NA NA NA NA D4 GND NA NA NA NA NA NA D7 GND NA NA NA NA NA NA D8 GND NA NA NA NA NA NA D18 GND NA NA NA NA NA NA D28 GND NA NA NA NA NA NA E1 GND NA NA NA NA NA NA E2 GND NA NA NA NA NA NA E5 GND NA NA NA NA NA NA E7 GND NA NA NA NA NA NA E15 GND NA NA NA NA NA NA E25 GND NA NA NA NA NA NA F3 GND NA NA NA NA NA NA F4 GND NA NA NA NA NA NA F7 GND NA NA NA NA NA NA F12 GND NA NA NA NA NA NA F22 GND NA NA NA NA NA NA F32 GND NA NA NA NA NA NA G1 GND NA NA NA NA NA NA G5 GND NA NA NA NA NA NA G7 GND NA NA NA NA NA NA G9 GND NA NA NA NA NA NA G19 GND NA NA NA NA NA NA G29 GND NA NA NA NA NA NA H4 GND NA NA NA NA NA NA H7 GND NA NA NA NA NA NA H16 GND NA NA NA NA NA NA H26 GND NA NA NA NA NA NA J1 GND NA NA NA NA NA NA J2 GND NA NA NA NA NA NA J5 GND NA NA NA NA NA NA J7 GND NA NA NA NA NA NA J13 GND NA NA NA NA NA NA J23 GND NA NA NA NA NA NA J33 GND NA NA NA NA NA NA K3 GND NA NA NA NA NA NA K4 GND NA NA NA NA NA NA K7 GND NA NA NA NA NA NA K10 GND NA NA NA NA NA NA K20 GND NA NA NA NA NA NA K30 GND NA NA NA NA NA NA L1 GND NA NA NA NA NA NA L5 GND NA NA NA NA NA NA L7 GND NA NA NA NA NA NA L17 GND NA NA NA NA NA NA L27 GND NA NA NA NA NA NA M4 GND NA NA NA NA NA NA M7 GND NA NA NA NA NA NA M14 GND NA NA NA NA NA NA M22 GND NA NA NA NA NA NA M24 GND NA NA NA NA NA NA M34 GND NA NA NA NA NA NA N1 GND NA NA NA NA NA NA N2 GND NA NA NA NA NA NA N5 GND NA NA NA NA NA NA N7 GND NA NA NA NA NA NA N11 GND NA NA NA NA NA NA N13 GND NA NA NA NA NA NA N15 GND NA NA NA NA NA NA N17 GND NA NA NA NA NA NA N19 GND NA NA NA NA NA NA N21 GND NA NA NA NA NA NA N31 GND NA NA NA NA NA NA P3 GND NA NA NA NA NA NA P4 GND NA NA NA NA NA NA P7 GND NA NA NA NA NA NA P8 GND NA NA NA NA NA NA P10 GND NA NA NA NA NA NA P12 GND NA NA NA NA NA NA P14 GND NA NA NA NA NA NA P16 GND NA NA NA NA NA NA P18 GND NA NA NA NA NA NA P20 GND NA NA NA NA NA NA P22 GND NA NA NA NA NA NA P28 GND NA NA NA NA NA NA R1 GND NA NA NA NA NA NA R5 GND NA NA NA NA NA NA R9 GND NA NA NA NA NA NA R11 GND NA NA NA NA NA NA R13 GND NA NA NA NA NA NA R15 GND NA NA NA NA NA NA R17 GND NA NA NA NA NA NA R19 GND NA NA NA NA NA NA R21 GND NA NA NA NA NA NA R25 GND NA NA NA NA NA NA T4 GND NA NA NA NA NA NA T7 GND NA NA NA NA NA NA T10 GND NA NA NA NA NA NA T12 GND NA NA NA NA NA NA T14 GND NA NA NA NA NA NA T18 GND NA NA NA NA NA NA T20 GND NA NA NA NA NA NA T22 GND NA NA NA NA NA NA T32 GND NA NA NA NA NA NA U1 GND NA NA NA NA NA NA U2 GND NA NA NA NA NA NA U5 GND NA NA NA NA NA NA U7 GND NA NA NA NA NA NA U9 GND NA NA NA NA NA NA U11 GND NA NA NA NA NA NA U13 GND NA NA NA NA NA NA U15 GND NA NA NA NA NA NA U19 GND NA NA NA NA NA NA U21 GND NA NA NA NA NA NA U29 GND NA NA NA NA NA NA V3 GND NA NA NA NA NA NA V4 GND NA NA NA NA NA NA V7 GND NA NA NA NA NA NA V10 GND NA NA NA NA NA NA V12 GND NA NA NA NA NA NA V14 GND NA NA NA NA NA NA V18 GND NA NA NA NA NA NA V20 GND NA NA NA NA NA NA V22 GND NA NA NA NA NA NA V26 GND NA NA NA NA NA NA W1 GND NA NA NA NA NA NA W5 GND NA NA NA NA NA NA W7 GND NA NA NA NA NA NA W9 GND NA NA NA NA NA NA W11 GND NA NA NA NA NA NA W13 GND NA NA NA NA NA NA W15 GND NA NA NA NA NA NA W19 GND NA NA NA NA NA NA W21 GND NA NA NA NA NA NA W23 GND NA NA NA NA NA NA W33 GND NA NA NA NA NA NA Y4 GND NA NA NA NA NA NA Y5 GND NA NA NA NA NA NA Y6 GND NA NA NA NA NA NA Y7 GND NA NA NA NA NA NA Y10 GND NA NA NA NA NA NA Y12 GND NA NA NA NA NA NA Y14 GND NA NA NA NA NA NA Y16 GND NA NA NA NA NA NA Y18 GND NA NA NA NA NA NA Y20 GND NA NA NA NA NA NA Y22 GND NA NA NA NA NA NA Y30 GND NA NA NA NA NA NA AA10 VCCINT NA NA NA NA NA NA AA12 VCCINT NA NA NA NA NA NA AA16 VCCINT NA NA NA NA NA NA AA22 VCCINT NA NA NA NA NA NA AB11 VCCINT NA NA NA NA NA NA AB15 VCCINT NA NA NA NA NA NA AB19 VCCINT NA NA NA NA NA NA AB23 VCCINT NA NA NA NA NA NA M21 VCCINT NA NA NA NA NA NA M23 VCCINT NA NA NA NA NA NA N10 VCCINT NA NA NA NA NA NA N12 VCCINT NA NA NA NA NA NA N16 VCCINT NA NA NA NA NA NA N22 VCCINT NA NA NA NA NA NA P11 VCCINT NA NA NA NA NA NA P13 VCCINT NA NA NA NA NA NA P15 VCCINT NA NA NA NA NA NA P17 VCCINT NA NA NA NA NA NA P19 VCCINT NA NA NA NA NA NA P21 VCCINT NA NA NA NA NA NA R10 VCCINT NA NA NA NA NA NA R12 VCCINT NA NA NA NA NA NA R16 VCCINT NA NA NA NA NA NA R22 VCCINT NA NA NA NA NA NA T11 VCCINT NA NA NA NA NA NA T15 VCCINT NA NA NA NA NA NA T19 VCCINT NA NA NA NA NA NA T23 VCCINT NA NA NA NA NA NA U10 VCCINT NA NA NA NA NA NA U12 VCCINT NA NA NA NA NA NA U22 VCCINT NA NA NA NA NA NA V11 VCCINT NA NA NA NA NA NA V15 VCCINT NA NA NA NA NA NA V19 VCCINT NA NA NA NA NA NA W10 VCCINT NA NA NA NA NA NA W12 VCCINT NA NA NA NA NA NA W22 VCCINT NA NA NA NA NA NA Y9 VCCINT NA NA NA NA NA NA Y11 VCCINT NA NA NA NA NA NA Y15 VCCINT NA NA NA NA NA NA Y17 VCCINT NA NA NA NA NA NA Y19 VCCINT NA NA NA NA NA NA Y23 VCCINT NA NA NA NA NA NA AB13 VCCAUX NA NA NA NA NA NA AB21 VCCAUX NA NA NA NA NA NA T13 VCCAUX NA NA NA NA NA NA T21 VCCAUX NA NA NA NA NA NA V13 VCCAUX NA NA NA NA NA NA V21 VCCAUX NA NA NA NA NA NA Y13 VCCAUX NA NA NA NA NA NA Y21 VCCAUX NA NA NA NA NA NA AC20 VCCAUX_IO_G0 NA NA NA NA NA NA AA20 VCCAUX_IO_G0 NA NA NA NA NA NA W20 VCCAUX_IO_G0 NA NA NA NA NA NA U20 VCCAUX_IO_G1 NA NA NA NA NA NA R20 VCCAUX_IO_G1 NA NA NA NA NA NA N20 VCCAUX_IO_G1 NA NA NA NA NA NA AC14 VCCAUX_IO_G2 NA NA NA NA NA NA AA14 VCCAUX_IO_G2 NA NA NA NA NA NA W14 VCCAUX_IO_G2 NA NA NA NA NA NA U14 VCCAUX_IO_G3 NA NA NA NA NA NA R14 VCCAUX_IO_G3 NA NA NA NA NA NA N14 VCCAUX_IO_G3 NA NA NA NA NA NA AB9 VCCO_0 NA 0 NA NA NA NA W8 VCCO_0 NA 0 NA NA NA NA AG24 VCCO_14 NA 14 NA NA NA NA AJ28 VCCO_14 NA 14 NA NA NA NA AL32 VCCO_14 NA 14 NA NA NA NA AM29 VCCO_14 NA 14 NA NA NA NA AN26 VCCO_14 NA 14 NA NA NA NA AP34 VCCO_14 NA 14 NA NA NA NA AC26 VCCO_15 NA 15 NA NA NA NA AD23 VCCO_15 NA 15 NA NA NA NA AD33 VCCO_15 NA 15 NA NA NA NA AE30 VCCO_15 NA 15 NA NA NA NA AF27 VCCO_15 NA 15 NA NA NA NA AG34 VCCO_15 NA 15 NA NA NA NA AH31 VCCO_15 NA 15 NA NA NA NA AA32 VCCO_16 NA 16 NA NA NA NA AB29 VCCO_16 NA 16 NA NA NA NA U24 VCCO_16 NA 16 NA NA NA NA V31 VCCO_16 NA 16 NA NA NA NA W28 VCCO_16 NA 16 NA NA NA NA Y25 VCCO_16 NA 16 NA NA NA NA N26 VCCO_17 NA 17 NA NA NA NA P23 VCCO_17 NA 17 NA NA NA NA P33 VCCO_17 NA 17 NA NA NA NA R30 VCCO_17 NA 17 NA NA NA NA T27 VCCO_17 NA 17 NA NA NA NA U34 VCCO_17 NA 17 NA NA NA NA G34 VCCO_18 NA 18 NA NA NA NA H31 VCCO_18 NA 18 NA NA NA NA J28 VCCO_18 NA 18 NA NA NA NA K25 VCCO_18 NA 18 NA NA NA NA L22 VCCO_18 NA 18 NA NA NA NA L32 VCCO_18 NA 18 NA NA NA NA M29 VCCO_18 NA 18 NA NA NA NA A32 VCCO_19 NA 19 NA NA NA NA B29 VCCO_19 NA 19 NA NA NA NA C26 VCCO_19 NA 19 NA NA NA NA D33 VCCO_19 NA 19 NA NA NA NA E30 VCCO_19 NA 19 NA NA NA NA F27 VCCO_19 NA 19 NA NA NA NA G24 VCCO_19 NA 19 NA NA NA NA AD13 VCCO_34 NA 34 NA NA NA NA AE10 VCCO_34 NA 34 NA NA NA NA AH11 VCCO_34 NA 34 NA NA NA NA AJ8 VCCO_34 NA 34 NA NA NA NA AL12 VCCO_34 NA 34 NA NA NA NA AM9 VCCO_34 NA 34 NA NA NA NA AP13 VCCO_34 NA 34 NA NA NA NA AC16 VCCO_35 NA 35 NA NA NA NA AF17 VCCO_35 NA 35 NA NA NA NA AG14 VCCO_35 NA 35 NA NA NA NA AJ18 VCCO_35 NA 35 NA NA NA NA AK15 VCCO_35 NA 35 NA NA NA NA AN16 VCCO_35 NA 35 NA NA NA NA AE20 VCCO_36 NA 36 NA NA NA NA AH21 VCCO_36 NA 36 NA NA NA NA AK25 VCCO_36 NA 36 NA NA NA NA AL22 VCCO_36 NA 36 NA NA NA NA AM19 VCCO_36 NA 36 NA NA NA NA AP23 VCCO_36 NA 36 NA NA NA NA A22 VCCO_37 NA 37 NA NA NA NA B19 VCCO_37 NA 37 NA NA NA NA D23 VCCO_37 NA 37 NA NA NA NA E20 VCCO_37 NA 37 NA NA NA NA H21 VCCO_37 NA 37 NA NA NA NA J18 VCCO_37 NA 37 NA NA NA NA M19 VCCO_37 NA 37 NA NA NA NA A12 VCCO_38 NA 38 NA NA NA NA C16 VCCO_38 NA 38 NA NA NA NA D13 VCCO_38 NA 38 NA NA NA NA F17 VCCO_38 NA 38 NA NA NA NA G14 VCCO_38 NA 38 NA NA NA NA K15 VCCO_38 NA 38 NA NA NA NA B9 VCCO_39 NA 39 NA NA NA NA E10 VCCO_39 NA 39 NA NA NA NA H11 VCCO_39 NA 39 NA NA NA NA J8 VCCO_39 NA 39 NA NA NA NA L12 VCCO_39 NA 39 NA NA NA NA M9 VCCO_39 NA 39 NA NA NA NA R7 NC NA NA NA NA NA NA R8 NC NA NA NA NA NA NA Total Number of Pins Generated, 1156