ERROR:HDLCompiler:69 - "<file>.vhd" Line xx:: <xyz> is not declared. ERROR:HDLCompiler:461 - "<file>.vhd" Line xx:: If you are trying to directly instantiate a design entity, please use expanded name.
コード例 :
library ieee; use ieee.std_logic_1164.all;
entity subb_0007 is port(in_port : in std_logic; out_port: out std_logic); end subb_0007;
architecture beh of subb_0007 is begin out_port <= in_port; end;
-----------------------------------
library ieee; use ieee.std_logic_1164.all;
entity ex_0007 is port(in_port : in std_logic; out_port: out std_logic); end ex_0007;
architecture beh of ex_0007 is begin my_name : entity subb_0007 port map(in_port =>in_port, out_port=>out_port); end;