クロックのソースがクロック マルチプレクサーの場合、Vivado 2013.1 または 2013.2 デザイン ツールで次のような警告メッセージが表示されます。
CRITICAL WARNING: [Common 17-161] Invalid option value '6.73400 6.73400' specified for 'delay'. [zynq_base_trd/zynq_base_trd.srcs/sources_1/bd/design_1/ip/design_1_v_tc_1_0/design_1_v_tc_1_0_clocks.xdc:7
遅延は 1 つのクロックの PERIOD から決定される必要がありますが、各 BUFGMUX に接続されているクロックのリストが返されているために、この問題が発生します。set_case_analysis を使用して、タイミング解析用にすべての BUFGMUX の最速クロックを選択してください。これには次のフォーマットを使用します。
create_clock -period 10.0 [get_ports CLK_A]
create_clock -period 15.0 [get_ports CLK_B]
set_case_analysis 0 [get_ports SEL]
Answer Number | アンサータイトル | 問題の発生したバージョン | 修正バージョン |
---|---|---|---|
54541 | LogiCORE IP Video Timing Controller - Vivado 2013.1 以降のバージョンのリリース ノートおよび既知の問題 | N/A | N/A |
AR# 56271 | |
---|---|
日付 | 06/14/2013 |
ステータス | アーカイブ |
種類 | 一般 |
ツール | |
IP |