Tri Mode Ethernet MAC v7.0 を使用する場合、<component_name>_clocks.xdc ファイルに間違った XDC 構文があり、次のものにこの構文を置き換える必要があります。
置換するため、ファイルに含まれる次の XDC 行を削除する必要があります。
set_clock_groups -logically_exclusive -group $ip_gtx_clk -group $ip_mii_tx_clk
set_false_path -from [get_cells {<=: CompName :>_core/trimac_top/*/*MANAGEN/CONF/INT_*reg[*]}] -to $ip_gtx_clk
set_false_path -from [get_cells {<=: CompName :>_core/trimac_top/*/*MANAGEN/CONF/INT_*reg}] -to $ip_gtx_clk
set_max_delay -from [get_cells {axi4_lite_ipif/axi_lite_top/*/bus2ip_addr_reg_reg[*]}] -to $ip_gtx_clk 6 -datapath_only
Answer Number | アンサータイトル | 問題の発生したバージョン | 修正バージョン |
---|---|---|---|
57446 | Tri Mode Ethernet MAC v7.0 (Rev1) - ダウンロード可能な Rev1 パッチ アップデート | N/A | N/A |
AR# 57440 | |
---|---|
日付 | 09/23/2014 |
ステータス | アクティブ |
種類 | 一般 |
IP |