LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
entity conv_test is
Port ( a : in STD_LOGIC_VECTOR (7 downto 0);
b : out integer);
end conv_test;
architecture Behavioral of conv_test is
begin
b <= to_integer(signed(a));
end Behavioral;
b <= to_integer(unsigned(a));
AR# 45213 | |
---|---|
日付 | 02/21/2013 |
ステータス | アクティブ |
種類 | 一般 |