ソリューション
次の方法によって、合成後またはインプリメント後のデザインでタイミング例外制約を検証できます。
ソリューション 1 : Tcl コンソールで report_exceptions コマンドを実行します。
ソリューション 2 : Tcl コンソールで report_timing -from -to コマンドを実行し、パスを直接参照して確認します。
返されたレポートの「Slack (スラック)」または「Timing Exception (タイミング例外)」をチェックすると、タイミング例外が有効かどうかを検証できます。
次に例を示します。
制約 :
set_false_path -from [get_pins iSpiSerDes/regSpiCsB_fall_reg/C] -to [get_ports outSpiCsB]
ソリューション 1 :
コマンド :
report_exceptions
返されたレポート :
Position From Through To Setup Hold Status
---------- --------------------------------------------------------- -------------- ----------------------------- -------------- -------------- -----------------
21 [get_pins iSpiSerDes/regSpiCsB_fall_reg/C] * [get_ports outSpiCsB] false false
ソリューション 2 :
コマンド :
report_timing -from [get_pins iSpiSerDes/regSpiCsB_fall_reg/C] -to [get_ports outSpiCsB]
返されたレポート :
Slack: inf
Source: iSpiSerDes/regSpiCsB_fall_reg/C
(falling edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@7.500ns period=15.001ns})
Destination: outSpiCsB
(output port clocked by cclk {rise@0.000ns fall@7.500ns period=15.001ns})
......
Clock Path Skew: 2.067ns (DCD - SCD + CPR)
......
Clock Uncertainty: 0.190ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE
......
Timing Exception: False Path
......
「Slack」が inf の場合、フォルス パスのスラックを示します。
「Timing Exception (タイミング例外)」は、このパスの例外の種類を示します。