ライセンス取得に関する FAQ

ご購入いただいた、または評価をご希望のすべての製品が、製品登録およびダウンロード センタから一括で登録、ダウンロードおよびインストールできます。製品登録およびダウンロードに関する一般的な質問への回答は、次のヘルプ トピックを参照してください。

注記:ISE ライセンスは無償で、ライセンス アカウントからダウンロードが可能です。この FAQ ページでは、Vivado のラインセンスについてのみ記載しています。

AMD 製品のインストールまたは使用に関するお問い合わせ

  • アンサー レコード - サポート ページからアンサー データベース検索
  • 資料 - ソフトウェア マニュアル、ユーザー ガイド、データ シート、アプリケーション ノート
  • サポート コミュニティ - このサポート コミュニティで、関心のあるトピックのトレンドを閲覧、作成ができます。

1.製品ダウンロードおよびライセンスのウェブサイトの使用

製品ライセンスのウェブサイトへは、生成されたライセンスのタイプによってさまざまな方法でアクセス可能です。

  • 製品をご購入済みの場合、注文確認メールに含まれる手順に従ってください。リンクがある場合は、そちらから製品の権限を含むアカウントへ直接アクセス可能です。
  • Vivado™ Design Suite の評価をする場合は、Vivado ML Standard Edition (無償版) をダウンロードしてください。
  • IP 製品を評価をする場合は、IP センターで、関心のある IP 製品ページの 『評価』 リンクをクリックしてください。
  • または、こちらからでも製品ラインセンス ページにアクセスできます。
  • サイン インが必要です。すでにザイリンクスのユーザー アカウントをお持ちの場合は、ユーザー名とパスワードを入力して連絡先の情報が最新のものであるかをお確かめください。ザイリンクスのユーザー アカウントをお持ちでない場合は、「アカウント作成」 ボタンをクリックしてください。

ツールのダウンロードは、ダウンロードの一般情報を提供しているダウンロード ページをご覧ください。このページから、次を実行してください。

  1. TAR フォーマットの各 OS 指定のインストーラーすべてをダウンロードできます。OS 用の自己解凍型 ウェブ インストール シンクライアント実行ファイルもダウンロードできます。シン クライアントでは、インストールするデバイスやコンポーネントを選択できるため、クライアントは選択された必要なファイルのみインストールします。
    1. Akamai ダウンロード マネージャを使用して TAR ファイルをダウンロードできます。最適なダウンロード結果を得るために次を実行してください。
    2. entitlenow.com からのポップアップを許可してください。
    3. 安全な項目と安全性を保証されていない項目が同じページに表示されるようにセキュリティを設定してください。
    4. Akamai ダウンロード マネージャが Java プロセス (Mozilla ベースのブラウザ) または ActiveX プラグイン (Internet Explorer) を起動できるように許可してください。
  2. TAR フォーマット ファイルを解凍するには、サードパーティのソフトウェアが必要です。サポートが必要な場合は、自社の IT 部門へお問い合わせください。7-ZIP、GNU ビルトイン ツール、WinZip、および WinRAR などが圧縮ファイルの解凍に広く使用されているツールです。これらのツールのライセンスは、AMD ではなく、それぞれの開発者/メーカーによって管理されています。ただし、AMD では、これらのソフトウェアツールに関して、商品性、特定目的への適合性、および特許や著作権その他の知的資産権の不侵害は明示黙示を問わず一切保証いたしません。

  3. ダウンロードするインストールへのリンクをクリックします。
  4. ユーザー ID およびパスワードを入力して AMD アカウントへログインしてください。AMD のアカウントをお持ちでない場合は、アカウントを作成してください。アドレス検証画面が表示されます。
  5. 現在のアドレスが正しい場合は、[次へ] をクリックしてください。Akamai ダウンロード マネージャが起動し、ダウンロードが開始されます。

 

AMD からツールまたは IP 製品を購入するということは、ご希望製品の使用および更新情報を受信する 1 年間のライセンスを購入することを意味します。AMD のツールおよび IP 製品の使用ライセンスは、製品の権限により管理されます。製品権限は、次の要件により決定されます。

  • 購入した製品
  • 購入したシート数
  • ライセンスの種類 (フローティングまたはノードロック)
  • 製品の保証期間 (1 年を通じて製品の更新情報が提供される)

ご購入いただいたツールおよび IP の製品権限の管理に加え、製品権限にアクセスして無償版または評価版製品をご使用いただけます。フル ライセンスおよび無償版ライセンスの有効期間は 1 年間です。ツールの評価および IP 評価の保証期間は、それぞれ 30 日間および 120 日間です。

製品権限のアクティブ化により、ウェブサイトから 1 つまたは複数のライセンス キーを生成できます。インストールされると、ライセンスキーにより、ご購入いただいたまたは評価中のツールおよび IP が使用可能になります。製品権限および生成されたライセンスは、AMD ウェブサイトの製品権限アカウントで管理されます。

製品権限アカウントは、企業、サイトおよび管理者別に設定されます。すべての購入履歴は、同一の製品権限アカウントで管理されます。企業サイトでは、複数のアカウントは異なる管理者によって管理可能です。サイトに異なる予算で異なるプロジェクトに取り組む複数の設計チームが存在する場合、便利です。

製品ライセンスのページでは、カスタマー アカウント管理者、エンド ユーザー、および評価ユーザーという異なるユーザー タイプがあります。

  • カスタマー アカウント管理者 : カスタマー アカウント管理者の一般的な例は、CAD ツール マネージャーです。各製品権限アカウントには、最低 1 名のカスタマー アカウント管理者が必要です。カスタマー アカウント管理者は、1 つまたは複数の製品権限アカウントを管理できます。カスタマー アカウント管理者の権限は次のようになっています。
    • AMD ツールおよび IP 製品のノードロックまたはフローティング ライセンスを生成します。
    • 製品権限アカウントのほかの全ユーザーのライセンス生成に関する操作を監視する
    • 製品権限アカウントからユーザーを (必要に応じて) 追加または削除する。
    • ほかのユーザーに管理者およびフローティング ライセンス権限を (必要に応じて) 割り当てる。
  • オリジナルのカスタマー アカウント管理者が、製品の注文プロセスにおいて送付先として認識されます。購入済みの各製品のダウンロードおよびライセンス取得方法がメールで送信されます。カスタマー アカウント管理者は、必ずメールに含まれるリンクに従ってください。これにより購入した製品へのアクセスを取得できます。

  • エンド ユーザー : 製品権限アカウントにエンド ユーザーを追加すると、エンジニアまたは設計チームのメンバーは、各自でライセンス キーを自由に管理または生成できます。 エンド ユーザーはアカウント内のノードロック ライセンス対象製品のライセンス キーを生成できます。評価版ソフトウェア ツールや評価版または無償版 IP 製品のライセンス キーを生成することも可能です。
    • AMD ツールおよび IP 製品のノードロックまたはフローティング ライセンスを生成します。
    • 製品権限アカウントのほかの全ユーザーのライセンス生成に関する操作を監視する。
    • フローティング ライセンス権限のあるエンド ユーザーは、ほかのユーザーを製品権限アカウントへ/から追加または削除できません。
  • エンド ユーザー : 製品権限アカウントにエンド ユーザーを追加すると、エンジニアまたは設計チームのメンバーは、各自でライセンス キーを自由に管理または生成できます。 また、アカウント内のノードロック ライセンス対象製品のライセンス キーを生成できます。評価版ソフトウェアや評価版または無償版 IP 製品のライセンス キーを生成することも可能です。エンド ユーザーにはいくつかの制限事項が設けられています。
    • フローティング ライセンス キーを生成できません。サーバー ベース (フローティング) のライセンス キーは、カスタマー アカウント管理者およびフローティング ライセンス権限を持つエンド ユーザーのみが生成できます。アカウント内でフローティング ライセンスの製品権限を確認できません。
    • ほかのユーザーによって生成されたライセンス キーを確認できません。
    • ほかのユーザーを製品権限アカウントへ/から追加または削除できません。
  • 評価ユーザー: 評価ユーザーとは、デザイン ツールをテスト評価する人です。評価ユーザーは次のことができます。
    • AMD デザイン ツールの 30 日間無償評価ライセンス キーを生成できます。
    • 無償版 WebPACK またはエンベデッド ソフトウェア開発キット (SDK) ライセンスを生成する。
    • 評価版または無償版 IP 製品のライセンス キーを生成できます。

    注記 : AMD デザイン ツール製品のフル ライセンスを取得しているカスタマーは、ほかの AMD デザイン ツール製品または IP の評価版をお試しいただくことができます。これらの製品権限は、同一の製品権限アカウントで使用可能です。いずれのユーザー タイプも、製品をウェブからダウンロードできます。

購入済み、または評価版製品のライセンスを生成するには、次を実施してください。

  • 製品ライセンスのページにある [Create License] タブをクリックします。
  • 製品を選択 : 次のアクションを実行して購入済み製品または評価を希望する製品のライセンス生成プロセスを開始します。
    • アカウントのドロップダウン リストをプル ダウンして希望のアカウントを選択します。評価版または無償版製品の権限しかない場合は、選択できません。
    • 管理したい製品権限アカウントを選択します。
    • 製品権限の一覧から製品を選択します。

      対象となる製品権限の種類は、フル (購入済み)、無償、または評価版です。フル ライセンスおよび無償版ライセンスの保証期間は 1 年間です。デザイン ツール評価版の有効期間は 30 日間で、IP 評価版の有効期間は 120 日間です。
      注記:

    • フローティングおよびノードロック ライセンスは同一のライセンスに組み合わせることができません。ユーザー インターフェイスを相互作用させることで、無効な製品を選択することはありません。製品権限の一覧で製品の選択および選択解除できます。
    • デザイン ツールの使用可能なシートは、購入したライセンス シート合計数に対し利用可能なシート数を表しています。IP の場合、シートはサイト ワイド ライセンス (場所ごとのライセンス) の条件に従って管理されます。
    • ステータスが「現行 (Current)」の場合は保証期間内であることを示します。「有効期限切れ (Expired)」は保証期間が終了していることを意味します。使用可能なシートがある場合、ステータスが「現行」または「有効期限切れ」になっている製品のライセンスを生成できます。
    • Vivado Design Suite : 30 日間の評価版ノードロック製品権限によって、Vivado Design Suite ツール セットのすべての機能を使用できます。この製品権限は、自動的に製品権限アカウントに含められています。評価版および無償版 IP は、[Add Evaluation and No Charge IP Cores] ボタンをクリックすることで製品権限の一覧に追加できます。
    • IP 製品はサイト ライセンスとして通常販売され、管理者はフローティングおよびノード ロック ライセンスの 2 種類のライセンス キーを生成できます。
  • ライセンスの生成 : 生成したい (フローティングまたはノード ロック) ライセンス キーに相当する [Generate License] または [Activate License] ボタンをクリックします。フォームが表示され、ライセンス ファイルの特性を定義できます。次のようにライセンス生成フォームを記入します。
    • 各製品のライセンスに必要なシート数を選択します。これはフローティング ライセンスのみです。ノード ロック ライセンスのシート数はすべて 1 つです。製品のライセンス取得に利用できるシート数は自動的にシステムで管理されます。すべてのシートが使用されている場合、製品権限のリストから製品が削除されます。
    • システム情報を入力します。
      • Vivado License Manager (VLM) 内のリンクから製品のライセンス取得ページにアクセスした場合、システム情報はオプション メニューに自動的に入力されます。
      • リダンダント サーバーのコンフィギュレーションにより、ライセンス マネージャはフェイル オーバー機能が与えられています。3 つのサーバーのうち 2 つが動作している間は、ライセンス マネージャーは継続して実行可能です。
      • 証明書ベース ライセンス (.lic ファイル) で事前に追加されたシステム情報がない、またはほかのホストを追加したい場合、オプション メニューより [Add a host...] オプションを選択します。
      • ホスト ID は、デザイン ツールまたは IP のライセンスが付与されているコンピューターを識別する値です。ホスト ID のタイプは、MAC アドレス、ハード ドライブ シリアル番号、ドングル ID、または Solaris ホスト ID から選択できます。ただし、すべての OS がホスト ID の全タイプに対応しているわけではありません。ホスト ID を取得する最も簡単な方法は、ライセンス ホストとなるコンピューターで XLCM を実行することです。
    • コメントを提供します。ライセンス キー ファイルにコメントを追加すると、管理者はツールや IP 製品の権限がユーザー間でどのように割り当てられているかを簡単に記録できます。
    • [Manage IP] をクリックします。Review License Request (ライセンス リクエストのレビュー) フォームが表示されます。
    • 選択したものをレビューします。 選択したものに間違いがなければ [Next] をクリックして確定します。

      End User License Agreements (EULAs): AMD デザイン ツールおよび無償 IP 製品の EULA は、製品のインストール プロセス中に契約が交わされます。IP 製品のライセンスを取得する場合、関連する IP 製品の EULAs の条件をライセンス ファイルが生成される前に 「accept (同意)」 する必要があります。ライセンス生成の確認 : ライセンス生成のプロセスが完了すると、ライセンス活動のサマリを提供する確認メッセージが表示されます。また、ライセンス生成の確認メールも送られてきます。このメッセージには生成されたライセンス キー ファイルが添付されます。アドレス ブックに xilinx.notifications@entitlenow.com を追加してください。

Xilinx.com ダウンロード センターにおいてライセンスを生成し、メールを受信していない場合は、spram またはジャンク フォルダを確認してください。メール フィルターによってブロックされている可能性があります。サーバー レベルでメールがブロックされている可能性もあるため、IT 部門に連絡する必要があるかもしれません。安全な発信者リストに、xilinx.notification@entitlenow.com を追加してください。

ライセンスはダウンロードおよびライセンスの サイトからいつでも直接ダウンロードできます。[Manage Licenses] タブで、生成したライセンスを選択してから、左下端にある [Download] (矢印マーク) をクリックします。

製品のライセンス取得ページでは、生成したライセンスの履歴が記録されます。 [Manage Licenses] タブをクリックすると、製品権限アカウント用に生成されたライセンスをすべて確認できます。Manage Licenses ページから、必要に応じて次のことが可能です。

  • 既存のライセンス ファイルを検索および読み出し: 製品権限アカウントに生成されたライセンス ファイルおよびアクティベーション フルフィルメント レコードに関する情報は、マスター表示または詳細表示で提示されます。マスター表示された一番上のテーブルにある行をクリックすると、ライセンス キー ファイルの詳細情報が下部テーブルに詳細表示されます。詳細表示テーブルに次が含まれます。
    • キー ファイルの中で有効化された製品権限の一覧
    • キー ファイルに関連するコメント
    • ライセンス ファイルの内容をダウンロード、メール送信、またはコピー アンド ペーストする機能 (詳細表示の左下部にある対応するアイコンをクリック)
    • ライセンス ファイルを削除する機能 - 証明書ベース ライセンス (.lic)の場合のみ
    • 承認したエンド ユーザー ライセンスの取り決めを表示する機能 (適切であれば)
  • ライセンス ファイルの変更 : 現在のライセンス ファイルを変更するには、マスター表示でライセンス ファイルを選択し、詳細表示で [Modify License] をクリックします。次のいずれかの方法でライセンス ファイルを変更できます。
    • ライセンス キー ファイル用のライセンス サーバー ホストを再ホストまたは変更する。
    • 既存ライセンス ファイルにシートを追加する
    • 既存ライセンス からシートを削除する
    • 別の製品権限をライセンス ファイルに追加する
    • ライセンス ファイルから製品権限を削除する
    • ライセンス ファイルの変更プロセスには、同じライセンス タイプ (フローティングまたはノード ロック) の追加の製品権限がライセンス取得のために利用可能であることを除いては、ライセンス キー ファイルが生成された時と同様の入力フォームを使用します。

  • 削除したライセンス コンポーネントの再要求 : 次のいずれかによってコンポーネントのライセンス権限が失われます。
    • ライセンス ファイルのライセンス サーバー ホストを変更する。
    • ライセンスが与えられた現在の製品権限からシートを削除する。
    • ライセンス ファイルから製品権限を削除する。

一旦開発ツールのシートを購入すると、変更できません。開発システム製品は、すべてキャンセルおよび返却不可能 (NCNR) 条件になっています。

ただし、返却およびクレジット付与が可能である場合もあります。ご質問のある場合は、最寄の販売代理店またはカスタマー サービス チームまでご連絡ください。

ノードロック ライセンスを購入した後、フローティングに変更 (またはその逆) したい場合は、次の作業を行ってください。

証明書ベース ライセンス (.lic ファイル)

1.AMD ライセンス アカウントの [Manage License] タブでツールに対して生成したすべてのライセンスを削除します。
2.規約書に同意します。
3. デザイン ツールを購入した販売代理店との RMA を開始します。
4.RMA が一旦承認されると、希望するラインセンスのタイプを購入できます。

注記 : [Create Licenses] タブのライセンス タイプが「Floating/Node-Locked」の場合、この製品に対しフローティングまたはノードロックのライセンスを生成することができます。この場合、手順 3 および 4 (RMA および再購入) は必要なく、手順 1 および 2 を実行して必要なライセンス タイプを再生成できます。

製品権限アカウントの管理義務を譲渡したり、ほかのユーザーと共有できます。[Manage Users] タブを使用して製品権限アカウントへ/からユーザーを追加または削除できます。

  • ユーザーの追加 : 製品権限アカウントにユーザーを追加するには、新しいユーザーの企業メール アドレスを入力してください。新しいユーザーにカスタマー アカウント管理者としての完全な権限を付与するには、[Administrator] チェック ボックスにチェックを入れます。フローティング ライセンスを管理/確認でき、ほかのユーザーを追加/削除する権限がないカスタマー アカウント管理者の権限を付与する場合は、[Floating] チェック ボックスにチェックを入れます。提供したメール アドレスは、新しいユーザーが AMD アカウントを作成する際に提供した、または提供するメール アドレスと同一のものである必要があります。同一でない場合、ユーザーはログイン時に正しく認識されません。
    • 製品のライセンス取得ページにログインしたことのあるユーザーの名前は、自動的にユーザー リストに表示されます。ユーザーがこのページに行ったことがない場合、名前の記入欄に 「Not Yet Registered (未登録)」 というメッセージが表示されます。サイン イン プロセスを完了させると、ユーザーの名前が記入されます。
  • ユーザーの削除:
    • 各ユーザーに対応する [Administrator] チェック ボックスからチェックをはずすことで、管理者権限をアカウントのユーザーから削除できます。
    • フローティング権限をアカウントのユーザーから削除する場合は、各ユーザーに対応する [Floating] チェック ボックスのチェックをはずします。
    • 製品権限アカウントからユーザーを削除するには、各ユーザーに対応する [Trash can] をクリックしてください。

2.ダウンロードとインストール

ファイルは ZIP および TAR 形式で圧縮されて提供されているためサードパーティ プロバイダが提供するソフトウェアを使用して、これらのファイルを解凍する必要があります。弊社の技術担当にお問い合わせください。7-ZIP、GNU ビルトイン ツール、WinZip、および WinRAR などが圧縮ファイルの解凍に広く使用されているツールです。これらのツールのライセンスは、AMD ではなく、それぞれの開発者/メーカーによって管理されています。ただし、AMD では、これらのソフトウェア ツールに関して、商品性、特定目的への適合性、および特許や著作権その他の知的資産権の不侵害は明示黙示を問わず一切保証いたしません。

ツールは、ライセンスおよび OS 別に分類されています。Vivado Full Image ダウンロードは、全バージョンのインストーラーと SDK およびラボ ツールを含むオールインワンのダウンロードです。特定の OS にのみダウンロードする場合は、OS 別のダウンロードを選択してください。
Web Install シン クライアントを使用する場合は、実際に必要なファイルのみダウンロードし、ご使用されるマシンに対応した OS およびビット幅をダウンロードしてください。

提供されている Download Manager plug-in を使用してください。これにより、このサイトから全ファイルがダウンロードされます。 Download Manager plug-in は、標準のプラグイン インストール形式に従っています。このサイトからダウンロードするには、このブラウザ プラグインをインストールする必要があります。

デザイン ツールのダウンロードとインストールは、ザイリンクス ウェブサイトで登録してください。登録後、ツールをダウンロードしてインストールできます。ライセンスを取得後、Vivado License Manager (Vivado) を使用して、AMD デザイン ツールを使用するライセンスをセットアップします。

できます。デザイン ツールのインストール時に、各バージョンに別々のディレクトリを指定してください。使用するデザイン ツールは、AMD の環境変数の変更で選択できます。

3.FlexNet ライセンス概要

FlexNet Publisher Licensing は、FLEX (以前は FLEXlm) としても知られ、Flexera Software 社が販売し、業界で広く使用されている証明書ベースのランタイム ライセンス サービスです。「ライセンス ファイル」に内在する暗号化された「キー (鍵)」が認証の役割を果たします。アプリケーションは、「鍵」を FLEX サービスに渡すことでライセンスを自動的にチェックアウトする (借り出す) ことになります。これらのサービスは、ローカル マシンまたはネットワーク サーバー上にあります。

注記 : ライセンスのチェックインとチェックアウトは、アプリケーションを起動または終了する際に自動的に実行されます。ユーザーが何らかの操作を行う必要はありません。

ノードロックとフローティング (ネットワーク フローティング サーバー) ライセンスの両方が提供されています。

ノードロック ライセンスとは、特定のマシンまたはドングルに固定された暗号化された 「キー」 です (証明書ベース ライセンスのみ)。ライセンス数はカウントされません。つまり、1 台のマシンで任意数のツールを同時に実行できます。

ネットワーク フローティング ライセンスの場合、認定されたライセンス サーバーにアクセス可能な任意のマシンからラインセンスを使用できます。暗号化された 「キー」 は、FLEX ライセンス サーバー デーモンを実行するライセンス サーバーホストにロックされています。ライセンス数は数えられます。つまり、使用されている 「シート」 が FLEX のライセンス マネージャによって数えられています。

AMD フローティング ライセンス数はハード リミットです。たとえば、20 シートを所有し、20 人のユーザーが同時にツールを使用していると、すべてのライセンスが使用中になります。つまり、さらに別のユーザーがツールを起動しようとしても、ライセンスはチェックアウトできず、アプリケーションは起動しません。

ツール ライセンスの種類は、ご購入時にご選択いただきます。

新規カスタマーまたは更新が必要なカスタマーは、デザイン ツールで利用可能な新規エディションを購入する必要があります。これらのエディションは、ライセンス タイプによって識別されるもので、注文時の製品番号が異なります。

LogiCORE IP ライセンスの新しいライセンス タイプは、ライセンスの生成時にご選択いただきます。

ライセンスは、それが必要なアプリケーションが起動された時点でのみチェックアウトされます。ほとんどのアプリケーションの場合、それが開いている間は、ライセンスを保持します。

1 つです。フローティング ネットワーク ライセンスの場合、たとえば 30 台のネットワーク マシンそれぞれで MAP や PAR が同時に 30 のジョブを実行していても、使用中とみなされるツール ライセンスまたはシートは 1 つのみです。ノードロック ライセンスの場合は、SmartXplorer または ExploreAhead を使用して別のマシンでジョブを実行させることはできませんが、1 つのライセンスで複数のジョブをローカルで実行することは可能です (例: マルチコア システムの各プロセッサで 1 つのジョブを実行)。

できます。AMD デザイン ツールおよび IP コアの証明書ベース ライセンスを USB FLEXnet バージョン 9 向けに生成可能です。ドングルは Flexera または正規販売代理店から購入いただけます。USB ドングル ライセンスは Windows プラットフォームにのみ対応しています。

注記 : Windows OS で USB FLEX ID ドングルを使用するには、FLEXnet ライセンスを作成する前に、適切なドライバをインストールする必要があります。

  1. デザイン ツールのソフトウェアをインストールします。これには USB FLEXid ドングル ドライバのインストーラーが含まれます。 \Vivado\\ids_lite\ISE\bin\nt64 \ISE\bin\nt にある FLEXId_Dongle_Driver_Installer.exe を実行します。
  2. [Select Options] 画面で、FLEXid 9 Drivers にのみチェック マークが付いていることを確認します。
  3. [Next] を 2 回クリックすると、ドライバーがインストールされます。インストールが完了すると、再起動するかどうか確認する画面が表示されます。ドングルを正しく動作させるには、再起動する必要があります。

4.ツール別ライセンス

Vivado 合成 synth_design 中に「Synthesis feature」がチェックアウトされてホールドされます。
Vivado インプリメンテーション opt_design, place_design、route_design、および power opt 中に「Implementation feature」がチェックアウトされてホールドされます。
Vivado シミュレーション シミュレーション コンパイレーション中に「Simulation feature」がチェックアウトされてホールドされます。ライセンスが見つからない場合には、実行行数が制限されます。
Dynamic Function eXchange (DFX) Vivado 2019.1 では、DFX (以前のパーシャル リコンフィギュレーション) ソリューションを有効にするためのライセンスは必要ありません。 以前の Vivado バージョンでは、パーシャル リコンフィギュレーション ライセンスが必要となり、すべてのエディション ライセンスに追加料金なしで含まれます。
DSP
  -- System Generator Generate コマンドには「SysGen feature」が必要です。
  -- Model Composer Model Composer の実行にはこの機能が必要です。

いいえ。Vivado WebPACK にはライセンスは不要です。

Vivado ライセンスは、フローが実際に実行されている間にのみチェックアウトされます。

5.AMD ソフトウェアの FLEX ライセンス レベル

AMD ソフトウェア アプリケーションを使用するには、次のライセンス タイプから 1 つを取得します。

  • 30 日間トライアル ライセンス
  • 30 日間評価ライセンス
  • WebPACK ライセンス
  • 購入ライセンス

30 日間トライアル ライセンスは、AMD の全デバイスをターゲットでき、(ビットストリーム生成を除く) 全アプリケーションを使用できます。このライセンスの有効期間は、その生成から 30 日です。

30 日間評価ライセンスでは、AMD の全デバイスをターゲットとでき、(ビットストリーム生成を含む) 全アプリケーションを使用できます。 このライセンスの有効期間は、その生成から 30 日間です。また、これはノードロック ライセンスです。

購入ライセンスでは、購入したすべての AMD CPLD および FPGA デバイスをターゲットとでき、AMD の全アプリケーションを使用できます。 (ビットストリーム生成を含む) 購入ライセンスの期限が切れることはありません。ただし、ご購入いただいたライセンスの中には有効期限があるものがあります (通常はご購入後 1 年間)。この場合、バージョンの有効期限が切れた月以降にリリースされた新バージョンのソフトウェアに更新する場合は、新規ライセンスをご購入いただく必要があります。

6. LogiCORE IP コアの FLEX ライセンス レベル

LogiCORE IP コアのシミュレーション専用またはデザイン リンク ライセンスは、評価ライセンス キーです。このライセンスでは、デザイン フロー全体の実行とインプリメンテーション前後のシミュレーションが実行可能です。ただし、シミュレーション専用ライセンスで有効となっている LogiCORE IP コアを含むデザインのビットストリームは生成できません。

LogiCORE IP コア フル システム ハードウェア評価版ライセンスでは、インプリメンテーション、シミュレーションそしてビットストリームの生成を含むデザイン フロー全体が実行可能です。ただし、生成されたビットストリームには、コアを標準クロック レートで 2 ~ 8 時間動作されるとデザインを無効にする回路が含まれます。実際の動作時間はコアによって異なります。デバイスの動作を再開するには、ビットストリームをリロードする必要があります (デバイスのリセットまたはリプログラム)。

LogiCORE IP コアのフル (ソースなし) ライセンス キーでは、LogiCORE IP コアのネットリストへの完全なアクセスが可能です。また、インプリメンテーション、シミュレーション、そしてビットストリームの生成を含むデザイン フロー全体が実行可能です。フル ライセンスのコアを含むデザインは、FPGA にプログラムして継続使用できます。

注記 : LogiCORE IP コアの評価ライセンスをフル ライセンスで置き換えた場合、そのコアを再生成し、デザインを再インプリメントする必要があります。これにより、コアのネットリストに評価版と識別される情報が含まれなくなります。

LogiCORE IP コアのソース コード用ライセンスを購入すると、LogiCORE IP コアのネットリストを生成し、インプリメンテーション、シミュレーション、そしてビットストリームの生成を含む AMD のデザイン フロー全体が実行可能です。購入したソース コード ライセンスのコアを含むデザインは、FPGA にプログラムして継続使用できます。さらに、ソース コード ライセンスによってコアのソース コードにアクセスする資格を取得します。このアクセス権は、コアと一緒に生成される、または別途提供されます。このライセンスは、一部の IP コアに対してのみ提供されています。

注記 : LogiCORE IP コアの評価ライセンス キーをソース コード ライセンスに従って提供されるライセンスで置き換えた場合、そのコアを再生成し、デザインを再インプリメントする必要があります。これにより、コアのネットリストに評価版と識別される情報が含まれなくなります。

7.期限切れと保証期間

AMD ソフトウェアおよび IP ライセンス有効期間は次のとおりです。

  • ライセンスおよび購入ライセンス: 期限切れなし。ただし、保証期間内にリリースされたソフトウェアと IP コアの一連のバージョンにのみ適用されます。
  • ソフトウェアの評価ライセンスとトライアル ライセンス: 生成日から 30 日間
  • ハードウェア評価 IP ライセンス : 4 ヶ月間 (11.1 から)
  • 無償の LogiCORE IP ライセンス、およびご購入いただいた完全版の LogiCORE IP ライセンスには有効期限はありません。ただし、フル ライセンス キーは、1 年間の保証期間中または保証期間前にリリースされた IP コアのバージョンのみを使用することができます。最初の 1 年間の保証期間の終了後、新しい IP バージョンおよび関連機能強化やバグ修正されたのバージョンを利用するためには年に 1 度サポート契約を更新してください。

はい、全ライセンスにバージョンの制限 (Version Limit) があります。

  • Version Limit (バージョン期限) は保証期間の終了を表します。たとえば 2015.04 は 2015 年 4 月に保証期間が終了することを意味します。
  • ライセンスは、Version Limit (バージョンの制限) 以前にリリースされたツールのバージョンすべてに有効です。
  • WebPACK ライセンス : ソフトウェア リリース開始から 1 年
  • IP コアのフル ライセンス キーおよびソース コード ライセンス キー : Version Limit (バージョンの制限) 以前にリリースされた IP すべてに有効です。

AMD デザイン ツールのソフトウェア バージョン制限は、ソフトウェア リリースの最終ビルドの日付に基づいています。IP コアの場合、Version Limit は IP コアの特定バージョンが最初にリリースされた時点のツール リリースのビルドの日付に基づいています。詳細については、アンサー レコード 33770 をご覧ください

ライセンスの契約および保証期間は Version Limit (バージョンの制限) に反映されています。

  • WebPACK ライセンス : ソフトウェアのリリース開始から 1 年
  • 購入ライセンス : ツールの購入から 1 年

いいえ、そのバージョンのソフトウェアは無期限で使用を継続できます。購入ライセンスに期限切れはありません。

できます。Version Limit (バージョンの制限) 以前にリリースされたソフトウェアであれば、FLEX はすべてのバージョン、アップデート、サービス パックに対応します。

できません。FLEX は、Version Limit (バージョンの制限) 後にリリースされたソフトウェアのバージョン、アップデート、サービス パックには対応しません。

ソフトウェアのリリース日は、AMD が公式に設定する日付で、ユーザーがソフトウェアをインストールした日ではありません。つまり、Version Limit (バージョンの制限) 以前にリリースされた製品であれば、Version Limit (バージョンの制限) をすでに過ぎていても、インストールして使用できます。

8.ライセンスの取得

無償または有償ライセンスは、2 とおりの方法で取得可能です。

1.Vivado ツールおよび IP のその他のライセンス タイプの場合は、Vivado License Manager (VLM) から次の手順を実行してください。

  1. [Obtain a License] を選択します。
  2. 任意のライセンス タイプを選択し、インターネット接続されている場合は [Connect Now] をクリックします。インターネット接続されていない場合は、[Save Information] をクロックしてインターネットが利用可能な状態になってから使用できるように HTML ファイルを作成します。
  • [Connect Now] をクリックすると、ライセンスの登録/生成を行うウェブサイトへ自動的に移動します。Vivado License Manage は、次の方法でアクセスできます。

    • インストーラーの実行が完了すると、Vivado License Manager が Obtain A License モードで起動します。
    • Vivado で [Help] → [Obtain a License Key] を選択します。
    • コマンド シェルで「vlm」と入力します。
    • Windows の [スタート] メニューで、[Xilinx Design Tools] → [Vivado ] の下にある [Manage Xilinx Licenses] を選択します。

2.証明書ベース ツールおよび IP ライセンスの場合、ライセンス取得ページから必要な情報を入力することもできます。

FLEX HostID は、次の方法で確認できます。

  • Xilinx License Manager (XLCM) を使用する場合
    • [Manage Xilinx Licenses] タブの下部に、ネットワーク インターフェイス カード ID (またはイーサネット MAC アドレス)、C: ドライブ シリアル番号、FLEXID ドングル IDを含む、有効な Host ID すべてがリストされます。
  • Vivado License Manager (VLM) を使用する場合
    • VLM の [System Settings] の下にある [System Information] をクリックします。この画面には、ネットワーク インターフェイス カード ID (またはイーサネット MAC アドレス)、C:ドライブ シリアル ナンバー、および FLEXID ドングル ID を含む、検索された有効なホスト ID がリストされています。
  • コマンド プロンプトを使用する場合
    • Windows
      • イーサネット アドレス:「lmutil lmhostid と入力し、リストされた 12 桁の Host ID のいずれかを使用
      • ドングル :「lmutil lmhostid -flexid」と入力
    • Linux: 「lmutil lmhostid」と入力

フローティング ライセンスは、カスタマー アカウントに対する FLEX 管理者またはフローティング ライセンス管理者のみが作成できます。 カスタマー アカウントの初期管理者は、製品の購入注文時に指定します。FLEX 管理者は、アカウントにユーザーを追加、アカウント ユーザーを管理者に指定、ユーザーにフローティング ライセンス権限を付与できます。

FLEX 管理者、またはフローティング ライセンス権限を付与されているユーザーであれば、作成できます。ウェブサイトのダウンロードとライセンス取得ページからフローティング ライセンスを作成する際に、[System Information] の [Redundant Server] で [Yes] を選択してください。これにより、サーバー HostID を 3 つ入力できるようになります。

FLEX フローティング ライセンスの作成や管理をするのに最適な信頼のあるユーザーであればどなたでも可能です。管理者は、カスタマー アカウントを持つユーザーにフローティング ライセンスやエンドユーザー プリビレッジを与えることができます。最初の FLEX 管理者は、エンド ユーザーまたは管理者窓口として、製品の購入注文時に指定します。

注記 :  FLEX 管理者として購買エージェントを指定しないでください。

FLEX 管理者に指定されると、次のことができます。

  • フローティング ライセンスの作成
  • 他者に対するノード ロック ライセンスの作成
  • エンド ユーザーおよび管理者のシステムへの追加
  • ほかのユーザーに管理者権限またはフローティング権限を付与
  • アカウントからユーザーを削除

ほかに FLEX 管理者がいる場合は、その管理者が新規管理者をアカウントに追加できます。ほかに FLEX 管理者がいない場合は、カスタマー サービスにご連絡いただき、新たに FLEX 管理者を設定する必要があります。

ソフトウェアのレベル (トライアル、Webpack、Design Edition など) に対するソフトウェア バイナリは、したがって、AMD ソフトウェアのレベルは、必要なレベルのライセンスを AMD ウェブサイトから取得するだけで、随時変更できます。「ライセンスの取得方法」 を参照してください。選択したソフトウェア レベルのデバイス ファミリおよび使用可能なソフトウェアを、使用前にインストールする必要があります。

証明書ベース ライセンスの場合、エンド ユーザーまたは管理者は、ウェブサイトの製品ライセンス取得ページから、ライセンスの変更を開始できます。現在のライセンス ファイルを変更するには、[Manage License] タブをクリックしてマスター表示でライセンス ファイルを選択し、詳細表示で [Modify License] をクリックします。
古いキーの全コピーの破棄に同意した後、新規マシン用の新しいキーが生成されます。エンド ユーザーは このような処理の実行を 3 度まで、管理者はメジャー リリースごとに 5 度まで削除とホストの再設定が許可されています。この回数を超える場合、再ホスト設定/削除権限をリセットするよう、エンド ユーザーまたは管理者はカスタマー サービスに連絡する必要があります。

注記 : サイト ライセンスを取得している場合、ウェブサイトの製品ライセンス取得ページから新しいコンピュータの HostID で新規ライセンスを取得できます。

9.ノードロックまたはクライアント ライセンスの使用

特定のマシンにロック (固定) されたライセンスです。証明書ベース ライセンスの場合はドングルを使用します。ライセンス数は数えられません。つまり、1 台のマシンで任意数のツールを同時に (誰でも) 実行できます。

ノード ロック ライセンスの例

INCREMENT System_Edition xilinxd 2013.06 permanent uncounted \ EE05B6C46335 \ VENDOR_STRING=joe.smith@acme,System_Edition,software,permanent,_27773986_134688_210178122_805 \ HOSTID=00216a62bb5c ISSUER="Xilinx Inc" START=22-Jul-2012 \ TS_OK

ライセンス ファイルの生成後、xilinx.notifications@entitlenow.com からメールが送信されます。

  1. メールに添付されたライセンス ファイルをローカル システムのテンポラリのディレクトリに保存します。
  2. 起動していない場合は、Xilinx License Configuration Manager (XLCM) または Vivado License Manager (VLM) を立ち上げて [Manage Xilinx Licenses] タブに切り替える、または License Screen (VLM) をロードします。
  3. ページの上部にある [Copy License...] をクリックします。
  4. Xilinx.lic ファイルを参照して、[Open] をクリックします。
    • これにより、ザイリンクス ツールが自動的に検出するお客様のマシン上の %APPDATA%\Xilinx (Windows) または $HOME/.Xilinx (Linux) ディレクトリにこのファイルを保存します。
    • ザイリンクス ツールでライセンス情報を閲覧するには、次の方法があります。

      XLCM の場合 : [Manage Xilinx Licenses] タブのライセンス テーブルで確認する。
      VLM の場合: [View License Status] 画面で確認する。

ありません。ソフトウェアは、ライセンスによって指定された ID と一致するホスト情報を持つマシン上でソフトウェアが動作しているかによってライセンスを確認します。

ネットワーク インターフェイス カード ID (またはイーサネット MAC アドレス)、C: ドライブ シリアル番号 (ボリューム番号)、FLEXID ドングル ID にロックできます。

ユーザーと、ライセンスの使用場所/使用方法によって異なります。考慮すべき点は次のとおりです。

  • ハード ドライブを交換しない限り、ディスク シリアル番号 (ボリューム ID) は有効です。
  • ディスク シリアル番号 (ボリューム ID) は、Windows プラットフォームにのみ有効です。
  • FLEXID ハードウェア キー (ドングル) を使用して 1 つのノード ロック ライセンスを複数のコンピュータ間で簡単に移管できます。
  • FLEXID ハードウェア キー (ドングル) は、対象のドライバにのみ対応します。
  • FLEXID ハードウェア キー (ドングル) は、個別に購入する必要があります。
  • AMD から購入した FLEXID ハードウェア キー (ドングル) は、Windows OS 向けのドライバにのみ対応します。
  • ノートパソコンの中には、未使用時は LAN ポートを無効にする機能を備えているものがあります。結果、ノートパソコンがドッキングされていない時、LAN ネットワーク インターフェイス カード ID (またはローカル イーサネット MAC アドレス) が消失 (または利用不可) する可能性があります。
  • ワイヤレス ネットワーク インターフェイス カード ID (またはワイヤレス イーサネット MAC アドレス) は、ノートパソコンがドッキングまたは切り離されている時、一般的に利用可能です。
  • エアプレーン モードが利用できるノートパソコンもあります。このモードがネットワーク インターフェイス カード (NIC) を自動的に無効にする場合、ワイヤレス MAC ID は利用不可となります。

次の条件を満たしている場合は、リモート端末で利用可能です。

  1. ライセンスが、実際にアプリケーションを実行しているコンピューターに接続されたコンピューターまたは FLEX ドングルにロックされている、かつ、
  2. TS_OK 文字列を用いてライセンスが作成されている。

ソフトウェアおよび LOGICORE IP コアのライセンスに TS_OK が含まれていると、リモート デスクトップからの使用が可能となります。

10.フローティングまたはサーバー ライセンスの使用

ネットワーク フローティング ライセンスは、FLEX ライセンス サーバー デーモンを実行している、ライセンス サーバー ホストにロックされます。ライセンス数は数えられます。つまり、使用されている 「シート」 が FLEX のライセンス マネージャによって数えられています。そして、個別ユーザーごとにライセンスがチェック アウトされます。2 人が異なるマシンで同時に Vivado インプリメンテーションを実行すると、2 つの Vivado シートが使用されていることになります。次のライセンス例では、PlanAhead、ChipscopePro、ISIM を合計で 10 シート同時に使用できます。

#Example Floating License # SERVER my_server1 80f0e696 2100 # USE_SERVER # VENDOR xilinxd # # This license is valid for permanent ( 0 days ) from Thu Mar 05 16:53:45 GMT+00:00 2012 INCREMENT Logic_Edition xilinxd 2013.03 permanent 10 9AF27E183C6D \ VENDOR_STRING=joe@gmail.com_Logic_Edition_software_permanent_1000 \ ISSUER="Xilinx Inc" START=05-Mar-2012 TS_OK # --------------------------------------------------------------- # PACKAGE Vivado_System_Edition xilinxd 2013.03 BCA973EE74F2 \ COMPONENTS="SDK SysGen PartialReconfiguration Simulation \ Lets update with the latest license with no simulation, system etc

Implementation Analyzer HLS Synthesis" OPTIONS=SUITE

ライセンス実行ファイル (lmgrd)、ライセンス ファイル、デバッグとレポート ログ ファイルをすべてローカルでマウントされたディスクに置くことを推奨します。

生成したフローティング ライセンスを受け取った後、ライセンス ファイルの変更は可能ですか?ライセンス取得サイトで証明書ベース フローティング ライセンスを生成する場合、サーバー名とホスト ID の入力が求められます。さらに、生成されたライセンスにポート番号 (2100) が追加されます。

  • ホスト ID はライセンス DRC に含まれるため、変更されるとエラーとなります。
  • サーバー名は DRC に含まれないため、変更の可能性がありますが、使用されている実際の名前と一致させる場合にのみ変更すべきです。
  • ポート番号は DRC に含まれないため、有効なポートへ変更される可能性があります。ポート番号の変更は、十分な知識を持つユーザーのみが行うべきであり、XILINXD_LICENSE_FILE または LM_LICENSE_FILE 変数 (例:2001@my_server) に示されているポート番号と一致する必要があります。
  • Feature 行または Increment 行にあるテキストは、ライセンス DRC に含まれるため、変更しないでください。

しています。トリプル リダンダント サーバーを生成するには、フローティング ライセンスの場合、ライセンス アカウントまたはフローティング ライセンスの管理者は [Redundant Server] 行の右側に表示される [Yes] を選択します。これにより管理者は、プライマリ サーバーと 2 つのバックアップ サーバー用の hostID 情報を選択または追加できるようになります。Redundant License サーバー システム : これら 3 台のマシンはライセンス サーバーと認識されますが、1 つのみがマスターとしてライセンスを発行できます。各マシンはライセンス ファイルの同一コピーを持ち、3 つの Server 行それぞれが、各マシンで認識されます。

リダンダント ライセンス サーバーの代わりに、ライセンス ファイル リストを使用することもあります。ライセンス ファイル リストの使用 : ライセンスを複数のファイルに分割し、XILINXD_LICENSE_FILE 環境変数でその位置を認識します。この際、UNIX はコロン (:) で、 Windows はセミコロン (;) を用いて分割します。例: 1700@mylicense1: 1700@mylicense2

現時点ではサポートしていません。

現時点ではサポートしていません。

サーバー マシンでライセンス サーバー マネージャー (LMGRD) を起動します。

  • 証明書ベース サーバー : シングル ライセンス ファイルの 「lmgrd -c 〈filename.lic〉」 または Imgrd を持つ 「lmgrd -c 〈Directory name〉」 は、icense_directory にある拡張子が .lic の全ファイルを処理し、これらのファイルによって参照されるすべてのベンダー デーモンを開始します。
  • フローティング ライセンス キーを指定するには、XILINXD_LICENSE_FILE 変数 (推奨) または LM_LICENSE_FILE 変数のどちらかが port@server ロケーションを指定する必要があります。

注記: Linux オペレーション システムの場合, 環境変数は、適切なシェルおよびコマンドを使用して設定する必要があります。

ライセンス サーバー ユーティリティ (lmgrd、lmutil、xlicsrvrmgr、xilinxd) は、製品ダウンロード ページからダウンロードできます。

  1. 製品ダウンロード ページへ。
  2. 最新のサーバー ツールは、[Vivado Design Tools] タブで最新の Vivado バージョンを選択します。
  3. [License Management Tools] の下の指定した Linux または Windows プラットフォームの横にあるダウンロード マークをクリックします。

11.ライセンス ファイルの使用

Xilinx License Configuration Manager (XLCM) または Vivado License Manager (VLM) を使用する方法が最も簡単です。XLCM の場合は、[Manage Xilinx Licenses] タブを選択し、VLM の場合は、[View License Status] 画面を選択します。ライセンス マネージャーによって、XILINXD_LICENSE_FILE および LM_LICENSE_FILE 環境変数で指定されたサーバーで実行されているすべてのザイリンクス ライセンス、そして$HOMEDIR/.xilinx ディレクトリにあるライセンスが表示されます。 別の方法としては、コマンド シェルから 「lmutil lmdiag」 コマンドを使用し、指定されたディレクトリにある、あるいは指定されたサーバーで実行されている全 FLEX ライセンスを表示させることができます。

例 :
lmutil lmdiag -c %APPDATA%\Xilinx
lmutil lmdiag -c 1700@my_license_server

できます。テキスト エディタを使用して各ファイルの内容を 1 つの新規ファイルにコピーするだけでマージできます。フローティング ライセンスの場合、マージする前の各ファイルの SERVER 行が同じである必要があります。マージ後のライセンス ファイルに含まれる SERVER 行は 1 行のみにしてください。

XLCM および VLM を含む、ザイリンクス アプリケーション は次に示す場所と順序で、FLEX ライセンスを検索します。

順序 Windows での場所 Linux での場所
1 環境変数 XILINXD_LICENSE_FILE で設定されている場所 (設定されている場合) 環境変数 XILINXD_LICENSE_FILE で設定されている場所 (設定されている場合)
2 レジストリの XILINXD_LICENSE_FILE にキャッシュされている場所 ("HKLM\Software\FLEXlm License Manager") XILINXD_LICENSE_FILE にキャッシュされている場所
3 環境変数 LM_LICENSE_FILE で設定されている場所 (設定されている場合) 環境変数 LM_LICENSE_FILE で設定されている場所 (設定されている場合)
4 %APPDATA%\Xilinx*.lic $HOME/.Xilinx/*.lic
5 %APPDATA%\Xilinx\Coregen\CoreLicenses\*.lic (supported from 11.2 onwards) $HOME/.Xilinx/Coregen/CoreLicenses/*.lic (supported from 11.2 onwards)
6 %APPDATA%\Xilinx\license_info.cache にキャッシュされている場所、xlcm で [Set] をクリックして XILINXD_LICENSE_FILE 値を保存すると作成される
  • Windows オペレーティング システム上での %APPDATA%\Xilinx は、通常 C:\.xilinx です。
  • 環境変数の部分は、ファイル、ディレクトリ、および/または PORT@HOST 値になります。
  • ディレクトリは *.lic で検索されます。
  • 同一ディレクトリで検出されたライセンスは、アルファベット順に検索されます。
  • 1 つのファイル内のライセンス機能は、ライセンス ファイルに表示されている順に検索されます。
  • [XLCM Manage Xilinx Licenses] タブおよび Vivado License Manager の [License Search Location] には検索順の列があり、各ライセンス キーがそれが位置する正確な順に従って順序付けられます。

IP ツールは 次に示す順序で、FLEX ライセンスを検索します。

順序 Windows での場所 Linux での場所
1 環境変数 XILINXD_LICENSE_FILE で設定されている場所 (設定されている場合) 環境変数 XILINXD_LICENSE_FILE で設定されている場所 (設定されている場合)
2 環境変数 LM_LICENSE_FILE で設定されている場所 (設定されている場合) 環境変数 LM_LICENSE_FILE で設定されている場所 (設定されている場合)
3 レジストリの XILINXD_LICENSE_FILE にキャッシュされている場所 XILINXD_LICENSE_FILE in $HOME/.flexlmrc にキャッシュされている場所
4 %APPDATA%\Xilinx*.lic $HOME/.Xilinx/*.lic
5 %APPDATA%\Xilinx\Coregen\CoreLicenses\*.lic $HOME/.Xilinx/Coregen/CoreLicenses/*.lic
6 \data\ip\core_licenses \data\ip\core_licenses
  • Windows オペレーティング システム上での %APPDATA%\Xilinx は、通常 C:\.xilinx です。
  • 環境変数の部分は、ファイル、ディレクトリ、および/または PORT@HOST 値になります。
  • ディレクトリは *.lic で検索されます。
  • 同一ディレクトリで検出されたライセンスは、アルファベット順に検索されます。
  • 1 つのファイル内のライセンス機能は、ライセンス ファイルに表示されている順に検索されます。

証明書ベース ライセンス ファイルの場合、Xilinx License Configuration Manager (XLCM) および Vivado License Manager (VLM) が %APPDATA%\Xilinx ディレクトリ (Windows の場合) および $HOME/.xilinx ディレクトリ (Linux の場合) にライセンスをコピーして配置します。購入ライセンスと評価ライセンスは作成されるとメールで送信されます。 ノード ロック ライセンスの場合は、%APPDATA%\Xilinx directory (Windows) または $HOME/.xilinx (Linux) にライセンスを保存するか、XILINXD_LICENSE_FILE 変数で指定した場所に保存してください。

このボタンを使用すると、ローカルまたはネットワーク ドライブすべてに対してライセンスを検索し、そのライセンスを %APPDATA%\Xilinx directory (Windows) または $HOME/.xilinx (Linux) ディレクトリにコピーできます。

複数のライセンス パッケージ (Logic Edition と System Edition のライセンスなど) で利用可能なライセンス コンポーネント (ISE など) は、次に示す順序で選択されます。

  1. まず、アプリケーションに対し最高レベルの動作 (つまり、ほとんどの機能を有効化) を提供するライセンスが優先されます。注記 : ライセンスが必要なザイリンクスのアプリケーションのほとんどは、簡単に有効 (ライセンス付き) または無効 (ライセンスなし) を切り替えることができ、アプリケーションの動作レベルは同一です。
  2. 次に、バージョンの有効期限がもっとも古いライセンスが優先的に使用されます。
  3. バージョンの有効期限が同じ場合は、開始日が新しいライセンスが優先されます。
  4. バージョン期限 (Version Limit) と開始日が同じ場合は、最初に検索された有効なライセンスが優先されます。

証明書ベース ライセンスの場合、2、3、および 4 は、sort 命令を使用して上書きできます。sort 命令は、drc インクリメントに影響を与えずに、Increment 行へ追加できます。最も低い sort 値を持つ Feature または Increment が一番先に使用されます。

できます。FLEX のライセンスでは、すべての利用可能なシートが複数のライセンス ファイルに対して使用できます。

WebPack がサポートするターゲット デバイスを用いる設計上でアプリケーションが実行されており、WebPack ライセンスと Edition ライセンスの両方のコンポーネントが利用可能な場合は、検索された順序にかかわらず WebPack ライセンスが使用されます。

ライセンスは FLEX によって位置が特定され、使用されているため、より速くアクセスするようキャッシュされます。 キャッシュされたライセンスが削除または上書きされると、最新のライセンスを取得するため、キャッシュのリセットが必要な場合があります。リセットするには、コマンド シェルで 「xlicmgr reset」 と入力してください。

XLCM では各ライセンス キーに対して次の情報が提供されます。

  • Feature - ライセンス キーの機能、インクリメント、パッケージ名。
  • S/W または IP コア - ライセンス キーがソフトウェア アプリケーション用か LogiCORE IP コア用かを示す。
  • Version Limit – リリースされたソフトウェアとアップデートのカットオフ日 (年/月)。例: その日以降にリリースされたソフトウェア アップデートに対しては、ライセンスは無効。以前の LogiCORE IP コア ライセンスには、1.0 などの数字が記載されており、これはそのバージョンのコアに対してのみ、ライセンスが適用されることを示している。
  • Expiration Date - ライセンスの有効期間。 通常はトライアルまたは評価ライセンスに対して使用される。
  • License Type - ライセンスがフローティングかノード ロック ライセンスかを示す。
  • Count - そのライセンス機能に有効なシート数。ノード ロック ライセンス キーは 1 台のマシンに対して有効であるため、通常は数はカウントされない。
  • Licenses in Use - 現時点で使用されているシート数。
  • Information - ライセンス ファイルにある VENDOR_STRING 行の値。 ライセンス機能、その生成日、作成者などの情報が含まれる場合もある。
  • Server Name - フローティング ライセンスの場合に、ライセンス キーが検出されたポート番号およびサーバー名。
  • File Name - ノード ロック ライセンスの場合に、ライセンス キーが検出されたディレクトリおよびファイル名。
  • Host ID - ライセンス キーがロックされている Host ID。
  • Host ID Matches - ライセンス キーの Host ID が、XLCM を起動させているマシンの Host ID と一致するかを示す。
  • License CRC - ライセンス キーが現時点のマシンで有効となりそうかを示す。 (この場合、ライセンスの有効期限は考慮されない点に注意してください)。
  • Search Order - ザイリンクス ライセンス キーの場所が特定された順序。

VLM の認証ベース ライセンスのテーブル

  • License Name - ライセンス キーの機能、インクリメント、パッケージ名。
  • Tools/IP - ライセンスがザイリンクス デザイン ツール アプリケーション用であるか、または LogiCORE IP コアの使用を許可するものであるかを示す。
  • ライセンスの有効期間 - ライセンスの有効期間。 通常はトライアルまたは評価ライセンスに対して使用される。
  • Version Limit – リリースされたツールとアップデートのカットオフ日 (年月)。例 : その日以降にリリースされたソフトウェア アップデートに対しては、ライセンスは無効。以前の LogiCORE IP コア ライセンスには、1.0 などの数字が記載されており、これはそのバージョンのコアに対してのみ、ライセンスが適用されることを示している。
  • License Type - ライセンスがフローティングかノード ロック ライセンスかを示す。
  • Location - フローティングまたはサーバー ライセンスの場合は、ライセンス キーが検出されたポート番号およびサーバー名を示す。ノード ロック ライセンスの場合は、ライセンス キーが検出されたディレクトリおよびファイル名。
  • # of Seats - ライセンスを使用できる有効なシート数。ノード ロック ライセンス キーは 1 台のマシンに対して有効であるため、通常は数はカウントされない。
  • # of Seats Used - 現時点で使用されているシート数。
  • Host ID in License File (証明書ベースのみ) - ライセンス キーがロックされているホスト ID。
  • Host ID Matches (証明書ベースのみ) - ライセンス キーの Host ID が、XLCM を起動させているマシンの Host ID と一致するかを示す。
  • License CRC (証明書ベースのみ) - ライセンス キーが現時点のマシンで有効となりそうかを示す。 (この場合、ライセンスの有効期限は考慮されない点に注意してください)。
  • Search Order - ザイリンクス ライセンスの場所が特定された順序。
  • License Vendor String - ライセンス ファイルにある VENDOR_STRING 行の値。 ライセンス機能、その生成日、作成者などの情報が含まれる場合もある。

12.LogiCORE IP コアのライセンス

次の場合に確認されます。

  • LogiCORE IP コアのカスタマイズ GUI が開かれるときには、ライセンス ステータスを事前通知するために確認されます。
  • LogiCORE IP コアの生成時にライセンス ステータスがチェックされ、ライセンス レベル (フル、シミュレーション、評価) が LogiCORE IP コアのネットリストに組み込まれます。
  • Vivado 合成および Vivado インプリメンテーションでは、ライセンス付与された LogiCORE IP コアのネットリストに組み込まれたライセンス情報、およびデザインで使用されている LogiCORE IP コアの現在のライセンスが確認されます。
  • BitGen および write_bitstream では、デザイン内のすべてのライセンス付与されたコアの現在のライセンス値が確認されます。デザインでシミュレーション専用ライセンスを持つ IP コアが使用されている場合、ビットストリームは生成できません。

LogiCORE IP コア のネットリストに最新のライセンス ステータスを組み込むため、再生成が必要です。

LogiCORE IP コアのライセンスはフローティングまたはノードロックのどちらでもかまいません。ライセンスのタイプは、ご購入時ではなく、ライセンスの生成時に決定されます。

大まかなステータスは XLCM または CORE Generator を起動して取得できます。 詳細情報を確認するには、コマンド プロンプトで次を実行してください。

Xlicmgr status –c -v

"xlicmgr report .ngc". を実行

できません。LogiCORE IP コア ライセンスの購入または登録後は、ウェブサイトのライセンス取得ページ : ライセンスの生成からライセンスを取得してください。

ユーザーは、次に示すいずれかの方法で LogiCORE IP のライセンスが必要かを判断できます。

コアの製品ページは、IP センターをご覧ください。ライセンス キーが必要なコアの場合、メインの製品ページに 「Get License」 と表示されている (無償 IP コア)、または製品ページの 「Evaluate」 または「Order」 リンクのインストラクションにライセンスが必要であることが記載されています。

CORE Generator の IP カタログまたは Project Navigator の [New Source] ウィザードを開いて、IP ページを選択して [License] 列を参照してください。ライセンス キーが必要な LogiCORE IP コアの場合、この列に鍵の形のアイコンが表示されています。このアイコンに「$」が付いている場合は、そのライセンスを購入する必要があります。「$」 がないアイコンは、ライセンスを所有する ISE カスタマーは追加費用なしでライセンス キーを入手できることを示しています。

Vivado IDE  IP カタログを開いて IP カタログの License 列を参照してください。必要なライセンスがザイリンクス インストレーションの一部として含まれている LogiCORE IP コアの場合は、この欄に 「included」 という文字があります。LogiCORE IP を使用するために、ユーザーがライセンスを購入する必要がある場合は、この欄に「purchase」という文字があります。

LogiCORE IP コアのフル ライセンスは、それを生成者に LogiCORE IP コアのネットリスト生成とデザインでのインプリメントの権利を与えるものです。第 3 者が LogiCORE IP コアのネットリストを含むデザインをインプリメントするには、その当事者も LogiCORE IP コアのライセンスを所有している必要があります。

13.トラブルシュートのヒント

[Create License] ページの製品一覧表にご購入された製品がない場合、お客様のユーザー アカウントに適切なライセンスが設定されていない可能性があります。ザイリンクス デザイン ツールまたは IP 製品をご注文された時に、注文書に 「送付先」 と指定された各担当者に通知が送付されています。

お客様がこの 「送付先」 であれば、ご購入された製品へのアクセス方法と専用の URL 情報を含む通知がザイリンクスから送信されているはずです。この E メールが届いていない場合、spram フォルダにメールが保存されていないか確認してださい。

お客様が注文書に 「送付先」 として指定されていない場合、「送付先」 と指定されている人物を探して製品のダウンロードとライセンス取得ページにログインしてもらい、ご自身のアカウント用に 「エンド ユーザー」 または 「カスタマー アカウント管理者」 として追加してもらう必要があります。これには、お客様の E メール アドレスが必要です。

お客様の注文書に指定された 「送付先」 が不明な場合、ザイリンクスのソフトウェア カスタマー サービスまでご連絡ください。

ザイリンクスの製品ライセンス ページには、アメリカ合衆国の輸出規制、またはソフトウェアおよびソース コードの国際的な配布に関連するザイリンクス独自の方針への準拠を確実にするための重要な保護措置が適用されています。このエラー メッセージは、ザイリンクスの輸出規制に直接関連しています。次の理由により、このエラー メッセージが表示される可能性があります。

  • お住まいの国で、本製品の使用が禁止されている。
  • お客様の IP アドレスの所在地 (国) で、本製品の使用が禁止されている。
  • お客様のプロフィール情報が不完全な場合。

プロフィール情報のエラー修正または削除には、製品登録のサイン イン プロセスを再度開始してください。アドレスを確認する画面が表示されます。ここでプロフィール情報の間違いを修正すると、製品登録およびダウンロード プロセスに進むことができます。さらに、ザイリンクスのカスタマー サービスに通知が送信されており、必要に応じてお客様のアカウント ステータスについて連絡させていただきます。

このような問題は、お客様のシステム、ISP およびインターネット接続、またはお客様のシステムと製品のダウンロードとライセンス取得ページの相互動作が関連している場合があります。次に役立つ情報を記載します。

  • ご利用のブラウザが最新のバージョンであることをご確認ください。a 8.x またはそれ以降のバージョンの Internet Explorer、あるいは a 10.x またはそれ以降のバージョンの FireFox のご利用を推奨します。
  • ファイアウォールやプロキシ サーバーを使用してダウンロードする場合は、システム管理者に連絡し、ファイアウォールを介してダウンロードできること、そしてお客様のシステムでダウンロードできるように適切に設定されていることを確認してください。ファイル サイズ キャッシュのパラメータについても検証してください。
  • ディスク容量に余裕があることを確認してください。ファイル サイズよりも少なくとも 2 ~ 3 倍大きいディスク容量をご用意ください。(200 M バイトの zip ファイルを例にすると、600 M バイトのディスク容量が必要です。)

ザイリンクスは、お客様のプライバシーと安全性を尊重し、保護します。40 ビット SSL (Secure Sockets Layer) 暗号化レベルを提供し、インターネットで流れるお客様の個人情報を保護します。ザイリンクスの製品の登録およびダウンロード ページは SSL によって保護されています。最新のブラウザーすべてが SSL をサポートしています。SSL がサポートされていない場合、より高度にプライバシーを保護するために新しいバージョンにアップグレードすることを推奨します。

ユーザー名およびパスワードが正確に入力された場合にのみ登録情報へアクセス可能です。お客様を保護するため、カスタマー サービス代理店はいかなる場合もお客様の情報を変更する権限がありません。お客様の個人情報保護の詳細は、ザイリンクス プライバシー規定をご一読ください。

製品のダウンロード ページにあるソフトウェアは、厳しいライセンスおよび輸出コンプライアンス規制の対象となっているため、このページにはさまざまなセキュリティ システムが組み込まれています。 このセキュリティ体系は、ダウンロード向けの HTTP プロトコルに依存するため FTP オプションは提供されていません。