AXI Verification IP (VIP)

概要

製品説明

AXI Verification IP (VIP) を使用することで、カスタム RTL デザイン フローを使用した AXI マスターおよび AXI スレーブのコネクティビティや基本機能を検証できます。また、パススルー モードがサポートされているため、ユーザーは転送情報やスループットを透過的に監視したり、アクティブ スティミュラスを駆動できます。AXI Verification IP (VIP) は、AXI3、AXI4、および AXI4-Lite の性能を実証するサンプルのテスト ベンチとテストを提供します。これらのサンプルを基にして、AXI3、AXI4、および AXI4-Lite インターフェイスを含むカスタム RTL デザインのテストを作成できます。これらのサンプルは、IP インテグレーターから入手できます。

AXI Verification IP の使用にライセンスは必要ありません。


主な機能と利点

  • すべてのプロトコル データ幅、アドレス幅、転送タイプ、応答をサポート
  • AXI プロトコル チェッカーを完全サポート
  • 統合 ARM ライセンスのプロトコル アサーション
  • トランザクション レベルのプロトコル チェック (バーストの種類、長さ、ロックの種類、キャッシュの種類) を実行
  • ビヘイビアーの SystemVerilog 構文
  • SystemVerilog クラス ベースの API
  • 設定変更可能なシミュレーション メッセージ
  • Vivado Design Suite で提供
  • IP インテグレーターで SystemVerilog サンプル デザインとテスト ベンチを提供
  • サポートするシミュレータ: Riviera-PRO (Aldec 社)、Incisive Enterprise Simulator (Cadence 社)、Vivado シミュレータ、Questa Prime (Mentor Graphics 社)、VCS (Synopsys 社)

サポート

資料
デフォルト デフォルト タイトル ドキュメント タイプ 日付