AXI4-Stream Protocol Checker

  • バンドル内容:
    • Vivado Design Suite
概要

製品説明

AXI4-Stream Protocol Checker コアは、AXI4-Stream インターフェイスのプロトコル違反を監視し、違反を検知すると通知します。

このチェック機能は、ARM 社の「AMBA 4 AXI4、AXI4-Lite、AXI4-Stream Protocol Assertion」ユーザー ガイド [Ref 2] にある SystemVerilog Assertions (SVA) の合成可能バージョンです。


主な機能と利点

  • AXI4-Stream プロトコルのチェックをサポート
  • サポートするインターフェイス幅:
    • TDATA 幅: 1 ~ 512 バイト
    • TUSER 幅: 0 ~ 4096 ビット
    • TID 幅: 0 ~ 32 ビット
    • TDEST 幅: 0 ~ 32 ビット
  • オプション信号をサポート:
    • TREADY
    • TSTRB
    • TLAST
    • TKEEP
  • シミュレーション動作のメッセージ レベルをプログラム可能
  • Vivado のデバッグ用配線や VVivado Logic Analyzerでモニタリングするための接続をサポート

サポート

資料
デフォルト デフォルト タイトル ドキュメント タイプ 日付